OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [tags/] [arelease/] [vhdl/] [makefile] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rhoads
VHD = mips_pack alu bus_mux control mem_ctrl mult pc_next reg_bank shifter \
2
                mips_cpu
3
 
4
all: work/tbench/_primary.dat
5
 
6
work/mips_pack/_primary.dat: mips_pack.vhd
7
        vcom -check_synthesis mips_pack.vhd
8
 
9
work/alu/_primary.dat: mips_pack.vhd alu.vhd
10
        vcom -check_synthesis alu.vhd
11
 
12
work/bus_mux/_primary.dat: mips_pack.vhd bus_mux.vhd
13
        vcom -check_synthesis bus_mux.vhd
14
 
15
work/control/_primary.dat: mips_pack.vhd control.vhd
16
        vcom -check_synthesis control.vhd
17
 
18
work/mem_ctrl/_primary.dat: mips_pack.vhd mem_ctrl.vhd
19
        vcom -check_synthesis mem_ctrl.vhd
20
 
21
work/mult/_primary.dat: mips_pack.vhd mult.vhd
22
        vcom -check_synthesis mult.vhd
23
 
24
work/pc_next/_primary.dat: mips_pack.vhd pc_next.vhd
25
        vcom -check_synthesis pc_next.vhd
26
 
27
work/reg_bank/_primary.dat: mips_pack.vhd reg_bank.vhd
28
        vcom -check_synthesis reg_bank.vhd
29
 
30
work/shifter/_primary.dat: mips_pack.vhd shifter.vhd
31
        vcom -check_synthesis shifter.vhd
32
 
33
work/mips_cpu/_primary.dat: mips_cpu.vhd \
34
        work/mips_pack/_primary.dat \
35
   work/alu/_primary.dat \
36
        work/bus_mux/_primary.dat \
37
        work/control/_primary.dat \
38
        work/mem_ctrl/_primary.dat \
39
        work/mult/_primary.dat \
40
        work/pc_next/_primary.dat \
41
        work/reg_bank/_primary.dat \
42
        work/shifter/_primary.dat
43
        vcom -check_synthesis mips_cpu.vhd
44
 
45
work/ram/_primary.dat: ram.vhd
46
        vcom -explicit ram.vhd
47
 
48
work/tbench/_primary.dat: tbench.vhd \
49
        work/mips_cpu/_primary.dat \
50
        work/ram/_primary.dat
51
        vcom tbench.vhd
52
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.