OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [vhdl/] [control.vhd] - Blame information for rev 46

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rhoads
---------------------------------------------------------------------
2
-- TITLE: Controller / Opcode Decoder
3
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
4
-- DATE CREATED: 2/8/01
5
-- FILENAME: control.vhd
6 43 rhoads
-- PROJECT: Plasma CPU core
7 2 rhoads
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9 39 rhoads
-- NOTE:  MIPS(tm) is a registered trademark of MIPS Technologies.
10
--    MIPS Technologies does not endorse and is not associated with
11
--    this project.
12 2 rhoads
-- DESCRIPTION:
13
--    Controls the CPU by decoding the opcode and generating control 
14
--    signals to the rest of the CPU.
15 39 rhoads
--    This entity decodes the MIPS(tm) opcode into a 
16
--    Very-Long-Word-Instruction.  
17 2 rhoads
--    The 32-bit opcode is converted to a 
18
--       6+6+6+16+5+2+3+3+2+2+3+2+4 = 60 bit VLWI opcode.
19
--    Based on information found in:
20
--       "MIPS RISC Architecture" by Gerry Kane and Joe Heinrich
21
--       and "The Designer's Guide to VHDL" by Peter J. Ashenden
22
---------------------------------------------------------------------
23
library ieee;
24
use ieee.std_logic_1164.all;
25 39 rhoads
use work.mlite_pack.all;
26 2 rhoads
 
27
entity control is
28
   port(opcode       : in  std_logic_vector(31 downto 0);
29
        intr_signal  : in  std_logic;
30 7 rhoads
        pause_in     : in  std_logic;
31 2 rhoads
        rs_index     : out std_logic_vector(5 downto 0);
32
        rt_index     : out std_logic_vector(5 downto 0);
33
        rd_index     : out std_logic_vector(5 downto 0);
34
        imm_out      : out std_logic_vector(15 downto 0);
35
        alu_func     : out alu_function_type;
36
        shift_func   : out shift_function_type;
37
        mult_func    : out mult_function_type;
38
        branch_func  : out branch_function_type;
39
        a_source_out : out a_source_type;
40
        b_source_out : out b_source_type;
41
        c_source_out : out c_source_type;
42
        pc_source_out: out pc_source_type;
43
        mem_source_out:out mem_source_type);
44
end; --entity control
45
 
46
architecture logic of control is
47
--   type alu_function_type is (alu_nothing, alu_add, alu_subtract, 
48
--      alu_less_than, alu_less_than_signed, alu_equal, alu_not_equal,
49
--      alu_ltz, alu_lez, alu_eqz, alu_nez, alu_gez, alu_gtz,
50
--      alu_or, alu_and, alu_xor, alu_nor);
51
--   type shift_function_type is (
52
--      shift_nothing, shift_left_unsigned,  
53
--      shift_right_signed, shift_right_unsigned);
54
--   type mult_function_type is (
55
--      mult_nothing, mult_read_lo, mult_read_hi, mult_write_lo, 
56
--      mult_write_hi, mult_mult, mult_divide, mult_signed_divide);
57
--   type a_source_type is (from_reg_source, from_imm10_6);
58
--   type b_source_type is (from_reg_target, from_imm, from_signed_imm);
59
--   type c_source_type is (from_null, from_alu, from_shift, 
60
--      from_mult, from_memory, from_pc, from_imm_shift16,
61
--      from_reg_source_nez, from_reg_source_eqz);
62
--   type pc_source_type is (from_inc4, from_inc8, from_reg_source, 
63
--      from_opcode25_0, from_branch, from_lbranch);
64
begin
65
 
66 10 rhoads
control_proc: process(opcode, intr_signal, pause_in)
67 2 rhoads
   variable op, func       : std_logic_vector(5 downto 0);
68
   variable rs, rt, rd     : std_logic_vector(5 downto 0);
69 46 rhoads
   variable rtx            : std_logic_vector(4 downto 0);
70 2 rhoads
   variable imm            : std_logic_vector(15 downto 0);
71
   variable alu_function   : alu_function_type;
72
   variable shift_function : shift_function_type;
73
   variable mult_function  : mult_function_type;
74
   variable a_source       : a_source_type;
75
   variable b_source       : b_source_type;
76
   variable c_source       : c_source_type;
77
   variable pc_source      : pc_source_type;
78
   variable branch_function: branch_function_type;
79
   variable mem_source     : mem_source_type;
80
begin
81
   alu_function := alu_nothing;
82
   shift_function := shift_nothing;
83
   mult_function := mult_nothing;
84
   a_source := a_from_reg_source;
85
   b_source := b_from_reg_target;
86
   c_source := c_from_null;
87
   pc_source := from_inc4;
88
   branch_function := branch_eq;
89
   mem_source := mem_none;
90
   op := opcode(31 downto 26);
91
   rs := '0' & opcode(25 downto 21);
92
   rt := '0' & opcode(20 downto 16);
93
   rtx := opcode(20 downto 16);
94
   rd := '0' & opcode(15 downto 11);
95
   func := opcode(5 downto 0);
96
   imm := opcode(15 downto 0);
97
 
98
   case op is
99 46 rhoads
   when "000000" =>   --SPECIAL
100 2 rhoads
      case func is
101 46 rhoads
      when "000000" =>   --SLL   r[rd]=r[rt]<<re;
102 2 rhoads
         a_source := a_from_imm10_6;
103
         c_source := c_from_shift;
104
         shift_function := shift_left_unsigned;
105 46 rhoads
      when "000010" =>   --SRL   r[rd]=u[rt]>>re;
106 2 rhoads
         a_source := a_from_imm10_6;
107
         c_source := c_from_shift;
108
         shift_function := shift_right_unsigned;
109 46 rhoads
      when "000011" =>   --SRA   r[rd]=r[rt]>>re;
110 2 rhoads
         a_source := a_from_imm10_6;
111
         c_source := c_from_shift;
112
         shift_function := shift_right_signed;
113 46 rhoads
      when "000100" =>   --SLLV  r[rd]=r[rt]<<r[rs];
114 2 rhoads
         c_source := c_from_shift;
115
         shift_function := shift_left_unsigned;
116 46 rhoads
      when "000110" =>   --SRLV  r[rd]=u[rt]>>r[rs];
117 2 rhoads
         c_source := c_from_shift;
118
         shift_function := shift_right_unsigned;
119 46 rhoads
      when "000111" =>   --SRAV  r[rd]=r[rt]>>r[rs];
120 2 rhoads
         c_source := c_from_shift;
121
         shift_function := shift_right_signed;
122 46 rhoads
      when "001000" =>   --JR    s->pc_next=r[rs];
123 2 rhoads
         pc_source := from_branch;
124
         alu_function := alu_add;
125
         branch_function := branch_yes;
126 46 rhoads
      when "001001" =>   --JALR  r[rd]=s->pc_next; s->pc_next=r[rs];
127 6 rhoads
         c_source := c_from_pc_plus4;
128 2 rhoads
         pc_source := from_branch;
129
         alu_function := alu_add;
130
         branch_function := branch_yes;
131 46 rhoads
      when "001010" =>   --MOVZ  if(!r[rt]) r[rd]=r[rs]; /*IV*/
132 2 rhoads
--         c_source := c_from_reg_source_eqz;
133 46 rhoads
      when "001011" =>   --MOVN  if(r[rt]) r[rd]=r[rs];  /*IV*/
134 2 rhoads
--         c_source := from_reg_source_nez;
135 46 rhoads
      when "001100" =>   --SYSCALL
136 2 rhoads
--         if(r[4]==0) printf("0x%8.8lx ",r[5]);
137 46 rhoads
      when "001101" =>   --BREAK s->wakeup=1;
138
      when "001111" =>   --SYNC  s->wakeup=1;
139
      when "010000" =>   --MFHI  r[rd]=s->hi;
140 2 rhoads
         c_source := c_from_mult;
141
         mult_function := mult_read_hi;
142 46 rhoads
      when "010001" =>   --FTHI  s->hi=r[rs];
143 2 rhoads
         mult_function := mult_write_hi;
144 46 rhoads
      when "010010" =>   --MFLO  r[rd]=s->lo;
145 2 rhoads
         c_source := c_from_mult;
146
         mult_function := mult_read_lo;
147 46 rhoads
      when "010011" =>   --MTLO  s->lo=r[rs];
148 2 rhoads
         mult_function := mult_write_lo;
149 46 rhoads
      when "011000" =>   --MULT  s->lo=r[rs]*r[rt]; s->hi=0;
150 44 rhoads
         mult_function := mult_signed_mult;
151 46 rhoads
      when "011001" =>   --MULTU s->lo=r[rs]*r[rt]; s->hi=0;
152 2 rhoads
         mult_function := mult_mult;
153 46 rhoads
      when "011010" =>   --DIV   s->lo=r[rs]/r[rt]; s->hi=r[rs]%r[rt];
154 2 rhoads
         mult_function := mult_signed_divide;
155 46 rhoads
      when "011011" =>   --DIVU  s->lo=r[rs]/r[rt]; s->hi=r[rs]%r[rt];
156 2 rhoads
         mult_function := mult_divide;
157 46 rhoads
      when "100000" =>   --ADD   r[rd]=r[rs]+r[rt];
158 2 rhoads
         c_source := c_from_alu;
159
         alu_function := alu_add;
160 46 rhoads
      when "100001" =>   --ADDU  r[rd]=r[rs]+r[rt];
161 2 rhoads
         c_source := c_from_alu;
162
         alu_function := alu_add;
163 46 rhoads
      when "100010" =>   --SUB   r[rd]=r[rs]-r[rt];
164 2 rhoads
         c_source := c_from_alu;
165
         alu_function := alu_subtract;
166 46 rhoads
      when "100011" =>   --SUBU  r[rd]=r[rs]-r[rt];
167 2 rhoads
         c_source := c_from_alu;
168
         alu_function := alu_subtract;
169 46 rhoads
      when "100100" =>   --AND   r[rd]=r[rs]&r[rt];
170 2 rhoads
         c_source := c_from_alu;
171
         alu_function := alu_and;
172 46 rhoads
      when "100101" =>   --OR    r[rd]=r[rs]|r[rt];
173 2 rhoads
         c_source := c_from_alu;
174
         alu_function := alu_or;
175 46 rhoads
      when "100110" =>   --XOR   r[rd]=r[rs]^r[rt];
176 2 rhoads
         c_source := c_from_alu;
177
         alu_function := alu_xor;
178 46 rhoads
      when "100111" =>   --NOR   r[rd]=~(r[rs]|r[rt]);
179 2 rhoads
         c_source := c_from_alu;
180
         alu_function := alu_nor;
181 46 rhoads
      when "101010" =>   --SLT   r[rd]=r[rs]<r[rt];
182 2 rhoads
         c_source := c_from_alu;
183
         alu_function := alu_less_than_signed;
184 46 rhoads
      when "101011" =>   --SLTU  r[rd]=u[rs]<u[rt];
185 2 rhoads
         c_source := c_from_alu;
186
         alu_function := alu_less_than;
187 46 rhoads
      when "101101" =>   --DADDU r[rd]=r[rs]+u[rt];
188 2 rhoads
         c_source := c_from_alu;
189
         alu_function := alu_add;
190 46 rhoads
      when "110001" =>   --TGEU
191
      when "110010" =>   --TLT
192
      when "110011" =>   --TLTU
193
      when "110100" =>   --TEQ 
194
      when "110110" =>   --TNE 
195 2 rhoads
      when others =>
196
      end case;
197 46 rhoads
   when "000001" =>   --REGIMM
198 2 rhoads
      rt := "000000";
199
      rd := "011111";
200
      a_source := a_from_pc;
201
      b_source := b_from_immX4;
202
      alu_function := alu_add;
203
      pc_source := from_branch;
204
      branch_function := branch_gtz;
205
      --if(test) pc=pc+imm*4
206
      case rtx is
207 46 rhoads
      when "10000" =>   --BLTZAL  r[31]=s->pc_next; branch=r[rs]<0;
208 6 rhoads
         c_source := c_from_pc_plus4;
209 2 rhoads
         branch_function := branch_ltz;
210 46 rhoads
      when "00000" =>   --BLTZ    branch=r[rs]<0;
211 2 rhoads
         branch_function := branch_ltz;
212 46 rhoads
      when "10001" =>   --BGEZAL  r[31]=s->pc_next; branch=r[rs]>=0;
213 6 rhoads
         c_source := c_from_pc_plus4;
214 2 rhoads
         branch_function := branch_gez;
215 46 rhoads
      when "00001" =>   --BGEZ    branch=r[rs]>=0;
216 2 rhoads
         branch_function := branch_gez;
217 46 rhoads
      when "10010" =>   --BLTZALL r[31]=s->pc_next; lbranch=r[rs]<0;
218 6 rhoads
         c_source := c_from_pc_plus4;
219 2 rhoads
         pc_source := from_lbranch;
220
         branch_function := branch_ltz;
221 46 rhoads
      when "00010" =>   --BLTZL   lbranch=r[rs]<0;
222 2 rhoads
         pc_source := from_lbranch;
223
         branch_function := branch_ltz;
224 46 rhoads
      when "10011" =>   --BGEZALL r[31]=s->pc_next; lbranch=r[rs]>=0;
225 6 rhoads
         c_source := c_from_pc_plus4;
226 2 rhoads
         pc_source := from_lbranch;
227
         branch_function := branch_gez;
228 46 rhoads
      when "00011" =>   --BGEZL   lbranch=r[rs]>=0;
229 2 rhoads
         pc_source := from_lbranch;
230
         branch_function := branch_gez;
231
          when others =>
232
          end case;
233 46 rhoads
   when "000011" =>   --JAL    r[31]=s->pc_next; s->pc_next=(s->pc&0xf0000000)|target;
234 6 rhoads
      c_source := c_from_pc_plus4;
235 2 rhoads
      rd := "011111";
236
      pc_source := from_opcode25_0;
237 46 rhoads
   when "000010" =>   --J      s->pc_next=(s->pc&0xf0000000)|target; 
238 2 rhoads
      pc_source := from_opcode25_0;
239 46 rhoads
   when "000100" =>   --BEQ    branch=r[rs]==r[rt];
240 2 rhoads
      a_source := a_from_pc;
241
      b_source := b_from_immX4;
242
      alu_function := alu_add;
243
      pc_source := from_branch;
244
      branch_function := branch_eq;
245 46 rhoads
   when "000101" =>   --BNE    branch=r[rs]!=r[rt];
246 2 rhoads
      a_source := a_from_pc;
247
      b_source := b_from_immX4;
248
      alu_function := alu_add;
249
      pc_source := from_branch;
250
      branch_function := branch_ne;
251 46 rhoads
   when "000110" =>   --BLEZ   branch=r[rs]<=0;
252 2 rhoads
      a_source := a_from_pc;
253
      b_source := b_from_immX4;
254
      alu_function := alu_add;
255
      pc_source := from_branch;
256 17 rhoads
      branch_function := branch_lez;
257 46 rhoads
   when "000111" =>   --BGTZ   branch=r[rs]>0;
258 2 rhoads
      a_source := a_from_pc;
259
      b_source := b_from_immX4;
260
      alu_function := alu_add;
261
      pc_source := from_branch;
262
      branch_function := branch_gtz;
263 46 rhoads
   when "001000" =>   --ADDI   r[rt]=r[rs]+(short)imm;
264 2 rhoads
      b_source := b_from_signed_imm;
265
      c_source := c_from_alu;
266
      rd := rt;
267
      alu_function := alu_add;
268 46 rhoads
   when "001001" =>   --ADDIU  u[rt]=u[rs]+(short)imm;
269 2 rhoads
      b_source := b_from_signed_imm;
270
      c_source := c_from_alu;
271
      rd := rt;
272
      alu_function := alu_add;
273 46 rhoads
   when "001010" =>   --SLTI   r[rt]=r[rs]<(short)imm;
274 2 rhoads
      b_source := b_from_signed_imm;
275
      c_source := c_from_alu;
276
      rd := rt;
277
      alu_function := alu_less_than;
278 46 rhoads
   when "001011" =>   --SLTIU  u[rt]=u[rs]<(unsigned long)(short)imm;
279 2 rhoads
      b_source := b_from_imm;
280
      c_source := c_from_alu;
281
      rd := rt;
282
      alu_function := alu_less_than;
283 46 rhoads
   when "001100" =>   --ANDI   r[rt]=r[rs]&imm;
284 2 rhoads
      b_source := b_from_imm;
285
      c_source := c_from_alu;
286
      rd := rt;
287
      alu_function := alu_and;
288 46 rhoads
   when "001101" =>   --ORI    r[rt]=r[rs]|imm;
289 2 rhoads
      b_source := b_from_imm;
290
      c_source := c_from_alu;
291
      rd := rt;
292
      alu_function := alu_or;
293 46 rhoads
   when "001110" =>   --XORI   r[rt]=r[rs]^imm;
294 2 rhoads
      b_source := b_from_imm;
295
      c_source := c_from_alu;
296
      rd := rt;
297
      alu_function := alu_xor;
298 46 rhoads
   when "001111" =>   --LUI    r[rt]=(imm<<16);
299 2 rhoads
      c_source := c_from_imm_shift16;
300
      rd := rt;
301 46 rhoads
   when "010000" =>   --COP0
302 2 rhoads
      alu_function := alu_or;
303
      c_source := c_from_alu;
304
      if opcode(23) = '0' then  --move from CP0
305
         rs := '1' & opcode(15 downto 11);
306
         rt := "000000";
307
         rd := '0' & opcode(20 downto 16);
308
      else                      --move to CP0
309
         rs := "000000";
310
         rd(5) := '1';
311
      end if;
312 46 rhoads
   when "010001" =>   --COP1
313
   when "010010" =>   --COP2
314
   when "010011" =>   --COP3
315
   when "010100" =>   --BEQL   lbranch=r[rs]==r[rt];
316 2 rhoads
      a_source := a_from_pc;
317
      b_source := b_from_immX4;
318
      alu_function := alu_add;
319
      pc_source := from_lbranch;
320
      branch_function := branch_eq;
321 46 rhoads
   when "010101" =>   --BNEL   lbranch=r[rs]!=r[rt];
322 2 rhoads
      a_source := a_from_pc;
323
      b_source := b_from_immX4;
324
      alu_function := alu_add;
325
      pc_source := from_lbranch;
326
      branch_function := branch_ne;
327 46 rhoads
   when "010110" =>   --BLEZL  lbranch=r[rs]<=0;
328 2 rhoads
      a_source := a_from_pc;
329
      b_source := b_from_immX4;
330
      alu_function := alu_add;
331
      pc_source := from_lbranch;
332
      branch_function := branch_lez;
333 46 rhoads
   when "010111" =>   --BGTZL  lbranch=r[rs]>0;
334 2 rhoads
      a_source := a_from_pc;
335
      b_source := b_from_immX4;
336
      alu_function := alu_add;
337
      pc_source := from_lbranch;
338
      branch_function := branch_gtz;
339 46 rhoads
   when "100000" =>   --LB     r[rt]=*(signed char*)ptr;
340 17 rhoads
      a_source := a_from_reg_source;
341
      b_source := b_from_imm;
342
      alu_function := alu_add;
343
      rd := rt;
344 2 rhoads
      c_source := c_from_memory;
345 17 rhoads
      mem_source := mem_read8s;    --address=(short)imm+r[rs];
346 46 rhoads
   when "100001" =>   --LH     r[rt]=*(signed short*)ptr;
347 17 rhoads
      a_source := a_from_reg_source;
348
      b_source := b_from_imm;
349
      alu_function := alu_add;
350
      rd := rt;
351 2 rhoads
      c_source := c_from_memory;
352 17 rhoads
      mem_source := mem_read16s;   --address=(short)imm+r[rs];
353 46 rhoads
   when "100010" =>   --LWL    //Not Implemented
354 17 rhoads
      a_source := a_from_reg_source;
355
      b_source := b_from_imm;
356
      alu_function := alu_add;
357
      rd := rt;
358
      c_source := c_from_memory;
359
      mem_source := mem_read32;
360 46 rhoads
   when "100011" =>   --LW     r[rt]=*(long*)ptr;
361 17 rhoads
      a_source := a_from_reg_source;
362
      b_source := b_from_imm;
363
      alu_function := alu_add;
364
      rd := rt;
365 2 rhoads
      c_source := c_from_memory;
366 17 rhoads
      mem_source := mem_read32;
367 46 rhoads
   when "100100" =>   --LBU    r[rt]=*(unsigned char*)ptr;
368 17 rhoads
      a_source := a_from_reg_source;
369
      b_source := b_from_imm;
370
      alu_function := alu_add;
371
      rd := rt;
372 2 rhoads
      c_source := c_from_memory;
373 17 rhoads
      mem_source := mem_read8;    --address=(short)imm+r[rs];
374 46 rhoads
   when "100101" =>   --LHU    r[rt]=*(unsigned short*)ptr;
375 17 rhoads
      a_source := a_from_reg_source;
376
      b_source := b_from_imm;
377
      alu_function := alu_add;
378
      rd := rt;
379 2 rhoads
      c_source := c_from_memory;
380 17 rhoads
      mem_source := mem_read16;    --address=(short)imm+r[rs];
381 46 rhoads
   when "100110" =>   --LWR    //Not Implemented
382
   when "101000" =>   --SB     *(char*)ptr=(char)r[rt];
383 17 rhoads
      a_source := a_from_reg_source;
384
      b_source := b_from_imm;
385
      alu_function := alu_add;
386
      mem_source := mem_write8;   --address=(short)imm+r[rs];
387 46 rhoads
   when "101001" =>   --SH     *(short*)ptr=(short)r[rt];
388 17 rhoads
      a_source := a_from_reg_source;
389
      b_source := b_from_imm;
390
      alu_function := alu_add;
391
      mem_source := mem_write16;
392 46 rhoads
   when "101010" =>   --SWL    //Not Implemented
393 17 rhoads
      a_source := a_from_reg_source;
394
      b_source := b_from_imm;
395
      alu_function := alu_add;
396
      mem_source := mem_write32;  --address=(short)imm+r[rs];
397 46 rhoads
   when "101011" =>   --SW     *(long*)ptr=r[rt];
398 17 rhoads
      a_source := a_from_reg_source;
399
      b_source := b_from_imm;
400
      alu_function := alu_add;
401
      mem_source := mem_write32;  --address=(short)imm+r[rs];
402 46 rhoads
   when "101110" =>   --SWR    //Not Implemented
403
   when "101111" =>   --CACHE
404
   when "110000" =>   --LL     r[rt]=*(long*)ptr;
405
   when "110001" =>   --LWC1 
406
   when "110010" =>   --LWC2 
407
   when "110011" =>   --LWC3 
408
   when "110101" =>   --LDC1 
409
   when "110110" =>   --LDC2 
410
   when "110111" =>   --LDC3 
411
   when "111000" =>   --SC     *(long*)ptr=r[rt]; r[rt]=1;
412
   when "111001" =>   --SWC1 
413
   when "111010" =>   --SWC2 
414
   when "111011" =>   --SWC3 
415
   when "111101" =>   --SDC1 
416
   when "111110" =>   --SDC2 
417
   when "111111" =>   --SDC3 
418 2 rhoads
   when others =>
419
   end case;
420
 
421 10 rhoads
   if c_source = c_from_null or pause_in = '1' then
422 2 rhoads
      rd := "000000";
423
   end if;
424
 
425
   if intr_signal = '1' then
426
      rs := "111111";  --interrupt vector
427
      rt := "000000";
428 6 rhoads
      rd := "101110";  --save PC in EPC
429
      alu_function := alu_or;
430
      shift_function := shift_nothing;
431
      mult_function := mult_nothing;
432
      branch_function := branch_yes;
433 2 rhoads
      a_source := a_from_reg_source;
434
      b_source := b_from_reg_target;
435 6 rhoads
      c_source := c_from_pc;
436
      pc_source := from_lbranch;
437
      mem_source := mem_none;
438 2 rhoads
   end if;
439
 
440
   rs_index <= rs;
441
   rt_index <= rt;
442
   rd_index <= rd;
443
   imm_out <= imm;
444
   alu_func <= alu_function;
445
   shift_func <= shift_function;
446
   mult_func <= mult_function;
447
   branch_func <= branch_function;
448
   a_source_out <= a_source;
449
   b_source_out <= b_source;
450
   c_source_out <= c_source;
451
   pc_source_out <= pc_source;
452
   mem_source_out <= mem_source;
453
 
454
end process;
455
 
456
end; --logic
457
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.