OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [vhdl/] [mult.vhd] - Blame information for rev 428

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rhoads
---------------------------------------------------------------------
2
-- TITLE: Multiplication and Division Unit
3 121 rhoads
-- AUTHORS: Steve Rhoads (rhoadss@yahoo.com)
4 2 rhoads
-- DATE CREATED: 1/31/01
5
-- FILENAME: mult.vhd
6 43 rhoads
-- PROJECT: Plasma CPU core
7 2 rhoads
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9
-- DESCRIPTION:
10 139 rhoads
--    Implements the multiplication and division unit in 32 clocks.
11 97 rhoads
--
12 196 rhoads
--    To reduce space, compile your code using the flag "-mno-mul" which 
13
--    will use software base routines in math.c if USE_SW_MULT is defined.
14
--    Then remove references to the entity mult in mlite_cpu.vhd.
15
--
16 139 rhoads
-- MULTIPLICATION
17 390 rhoads
-- long64 answer = 0;
18 139 rhoads
-- for(i = 0; i < 32; ++i)
19
-- {
20
--    answer = (answer >> 1) + (((b&1)?a:0) << 31);
21
--    b = b >> 1;
22
-- }
23 97 rhoads
--
24 139 rhoads
-- DIVISION
25
-- long upper=a, lower=0;
26
-- a = b << 31;
27
-- for(i = 0; i < 32; ++i)
28
-- {
29
--    lower = lower << 1;
30
--    if(upper >= a && a && b < 2)
31
--    {
32
--       upper = upper - a;
33
--       lower |= 1;
34 97 rhoads
--    }
35 139 rhoads
--    a = ((b&2) << 30) | (a >> 1);
36
--    b = b >> 1;
37
-- }
38 2 rhoads
---------------------------------------------------------------------
39
library ieee;
40
use ieee.std_logic_1164.all;
41 90 rhoads
use ieee.std_logic_unsigned.all;
42 121 rhoads
use IEEE.std_logic_arith.all;
43 39 rhoads
use work.mlite_pack.all;
44 2 rhoads
 
45
entity mult is
46 139 rhoads
   generic(mult_type  : string := "DEFAULT");
47 2 rhoads
   port(clk       : in std_logic;
48 128 rhoads
        reset_in  : in std_logic;
49 2 rhoads
        a, b      : in std_logic_vector(31 downto 0);
50
        mult_func : in mult_function_type;
51
        c_mult    : out std_logic_vector(31 downto 0);
52
        pause_out : out std_logic);
53
end; --entity mult
54
 
55
architecture logic of mult is
56 121 rhoads
 
57 139 rhoads
   constant MODE_MULT : std_logic := '1';
58
   constant MODE_DIV  : std_logic := '0';
59 121 rhoads
 
60 139 rhoads
   signal mode_reg    : std_logic;
61
   signal negate_reg  : std_logic;
62
   signal sign_reg    : std_logic;
63
   signal sign2_reg   : std_logic;
64
   signal count_reg   : std_logic_vector(5 downto 0);
65
   signal aa_reg      : std_logic_vector(31 downto 0);
66
   signal bb_reg      : std_logic_vector(31 downto 0);
67
   signal upper_reg   : std_logic_vector(31 downto 0);
68
   signal lower_reg   : std_logic_vector(31 downto 0);
69 128 rhoads
 
70 139 rhoads
   signal a_neg       : std_logic_vector(31 downto 0);
71
   signal b_neg       : std_logic_vector(31 downto 0);
72
   signal sum         : std_logic_vector(32 downto 0);
73
 
74 2 rhoads
begin
75 121 rhoads
 
76
   -- Result
77 139 rhoads
   c_mult <= lower_reg when mult_func = MULT_READ_LO and negate_reg = '0' else
78
             bv_negate(lower_reg) when mult_func = MULT_READ_LO
79
                and negate_reg = '1' else
80 428 rhoads
             upper_reg when mult_func = MULT_READ_HI and negate_reg = '0' else
81
             bv_negate(upper_reg) when mult_func = MULT_READ_HI
82
                and negate_reg = '1' else
83 121 rhoads
             ZERO;
84 139 rhoads
   pause_out <= '1' when (count_reg /= "000000") and
85
             (mult_func = MULT_READ_LO or mult_func = MULT_READ_HI) else '0';
86 2 rhoads
 
87 139 rhoads
   -- ABS and remainder signals
88
   a_neg <= bv_negate(a);
89
   b_neg <= bv_negate(b);
90
   sum <= bv_adder(upper_reg, aa_reg, mode_reg);
91 121 rhoads
 
92
   --multiplication/division unit
93 128 rhoads
   mult_proc: process(clk, reset_in, a, b, mult_func,
94 139 rhoads
      a_neg, b_neg, sum, sign_reg, mode_reg, negate_reg,
95
      count_reg, aa_reg, bb_reg, upper_reg, lower_reg)
96
      variable count : std_logic_vector(2 downto 0);
97 121 rhoads
   begin
98 139 rhoads
      count := "001";
99 128 rhoads
      if reset_in = '1' then
100 139 rhoads
         mode_reg <= '0';
101
         negate_reg <= '0';
102
         sign_reg <= '0';
103
         sign2_reg <= '0';
104 128 rhoads
         count_reg <= "000000";
105 139 rhoads
         aa_reg <= ZERO;
106
         bb_reg <= ZERO;
107
         upper_reg <= ZERO;
108
         lower_reg <= ZERO;
109 128 rhoads
      elsif rising_edge(clk) then
110 139 rhoads
         case mult_func is
111
            when MULT_WRITE_LO =>
112
               lower_reg <= a;
113
               negate_reg <= '0';
114
            when MULT_WRITE_HI =>
115
               upper_reg <= a;
116
               negate_reg <= '0';
117
            when MULT_MULT =>
118
               mode_reg <= MODE_MULT;
119
               aa_reg <= a;
120
               bb_reg <= b;
121
               upper_reg <= ZERO;
122
               count_reg <= "100000";
123
               negate_reg <= '0';
124
               sign_reg <= '0';
125
               sign2_reg <= '0';
126
            when MULT_SIGNED_MULT =>
127
               mode_reg <= MODE_MULT;
128
               if b(31) = '0' then
129
                  aa_reg <= a;
130
                  bb_reg <= b;
131
               else
132
                  aa_reg <= a_neg;
133
                  bb_reg <= b_neg;
134
               end if;
135 428 rhoads
               if a /= ZERO then
136
                  sign_reg <= a(31) xor b(31);
137
               else
138
                  sign_reg <= '0';
139
               end if;
140 139 rhoads
               sign2_reg <= '0';
141
               upper_reg <= ZERO;
142
               count_reg <= "100000";
143
               negate_reg <= '0';
144
            when MULT_DIVIDE =>
145
               mode_reg <= MODE_DIV;
146
               aa_reg <= b(0) & ZERO(30 downto 0);
147
               bb_reg <= b;
148
               upper_reg <= a;
149
               count_reg <= "100000";
150
               negate_reg <= '0';
151
            when MULT_SIGNED_DIVIDE =>
152
               mode_reg <= MODE_DIV;
153
               if b(31) = '0' then
154
                  aa_reg(31) <= b(0);
155
                  bb_reg <= b;
156
               else
157
                  aa_reg(31) <= b_neg(0);
158
                  bb_reg <= b_neg;
159
               end if;
160
               if a(31) = '0' then
161
                  upper_reg <= a;
162
               else
163
                  upper_reg <= a_neg;
164
               end if;
165
               aa_reg(30 downto 0) <= ZERO(30 downto 0);
166
               count_reg <= "100000";
167
               negate_reg <= a(31) xor b(31);
168
            when others =>
169 121 rhoads
 
170 139 rhoads
               if count_reg /= "000000" then
171
                  if mode_reg = MODE_MULT then
172
                     -- Multiplication
173
                     if bb_reg(0) = '1' then
174
                        upper_reg <= (sign_reg xor sum(32)) & sum(31 downto 1);
175
                        lower_reg <= sum(0) & lower_reg(31 downto 1);
176
                        sign2_reg <= sign2_reg or sign_reg;
177
                        sign_reg <= '0';
178
                        bb_reg <= '0' & bb_reg(31 downto 1);
179
                     -- The following six lines are optional for speedup
180 345 rhoads
                     --elsif bb_reg(3 downto 0) = "0000" and sign2_reg = '0' and 
181
                     --      count_reg(5 downto 2) /= "0000" then
182
                     --   upper_reg <= "0000" & upper_reg(31 downto 4);
183
                     --   lower_reg <=  upper_reg(3 downto 0) & lower_reg(31 downto 4);
184
                     --   count := "100";
185
                     --   bb_reg <= "0000" & bb_reg(31 downto 4);
186 139 rhoads
                     else
187
                        upper_reg <= sign2_reg & upper_reg(31 downto 1);
188
                        lower_reg <= upper_reg(0) & lower_reg(31 downto 1);
189
                        bb_reg <= '0' & bb_reg(31 downto 1);
190
                     end if;
191
                  else
192
                     -- Division
193
                     if sum(32) = '0' and aa_reg /= ZERO and
194
                           bb_reg(31 downto 1) = ZERO(31 downto 1) then
195
                        upper_reg <= sum(31 downto 0);
196
                        lower_reg(0) <= '1';
197
                     else
198
                        lower_reg(0) <= '0';
199
                     end if;
200
                     aa_reg <= bb_reg(1) & aa_reg(31 downto 1);
201
                     lower_reg(31 downto 1) <= lower_reg(30 downto 0);
202
                     bb_reg <= '0' & bb_reg(31 downto 1);
203
                  end if;
204
                  count_reg <= count_reg - count;
205
               end if; --count
206 2 rhoads
 
207 139 rhoads
         end case;
208
 
209 121 rhoads
      end if;
210
 
211 139 rhoads
   end process;
212 121 rhoads
 
213 2 rhoads
end; --architecture logic

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.