OpenCores
URL https://opencores.org/ocsvn/plasma/plasma/trunk

Subversion Repositories plasma

[/] [plasma/] [trunk/] [vhdl/] [reg_bank.vhd] - Blame information for rev 74

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rhoads
---------------------------------------------------------------------
2
-- TITLE: Register Bank
3
-- AUTHOR: Steve Rhoads (rhoadss@yahoo.com)
4
-- DATE CREATED: 2/2/01
5
-- FILENAME: reg_bank.vhd
6 43 rhoads
-- PROJECT: Plasma CPU core
7 2 rhoads
-- COPYRIGHT: Software placed into the public domain by the author.
8
--    Software 'as is' without warranty.  Author liable for nothing.
9
-- DESCRIPTION:
10
--    Implements a register bank with 32 registers that are 32-bits wide.
11
--    There are two read-ports and one write port.
12
---------------------------------------------------------------------
13
library ieee;
14
use ieee.std_logic_1164.all;
15 12 rhoads
use ieee.std_logic_unsigned.all;
16 39 rhoads
use work.mlite_pack.all;
17 2 rhoads
 
18
entity reg_bank is
19 47 rhoads
   generic(memory_type : string := "GENERIC");
20 2 rhoads
   port(clk            : in  std_logic;
21 24 rhoads
        reset_in       : in  std_logic;
22 74 rhoads
        pause          : in  std_logic;
23 2 rhoads
        rs_index       : in  std_logic_vector(5 downto 0);
24
        rt_index       : in  std_logic_vector(5 downto 0);
25
        rd_index       : in  std_logic_vector(5 downto 0);
26
        reg_source_out : out std_logic_vector(31 downto 0);
27
        reg_target_out : out std_logic_vector(31 downto 0);
28
        reg_dest_new   : in  std_logic_vector(31 downto 0);
29
        intr_enable    : out std_logic);
30
end; --entity reg_bank
31
 
32 9 rhoads
 
33 8 rhoads
--------------------------------------------------------------------
34 9 rhoads
-- The ram_block architecture attempts to use TWO dual-port memories.
35 12 rhoads
-- Different FPGAs and ASICs need different implementations.
36
-- Choose one of the RAM implementations below.
37 9 rhoads
-- I need feedback on this section!
38 8 rhoads
--------------------------------------------------------------------
39
architecture ram_block of reg_bank is
40 55 rhoads
   signal intr_enable_reg : std_logic;
41 8 rhoads
   type ram_type is array(31 downto 0) of std_logic_vector(31 downto 0);
42
 
43 9 rhoads
   --controls access to dual-port memories
44
   signal addr_a1, addr_a2, addr_b : std_logic_vector(4 downto 0);
45
   signal data_out1, data_out2     : std_logic_vector(31 downto 0);
46
   signal write_enable             : std_logic;
47 48 rhoads
--   signal sig_false                : std_logic := '0';
48
--   signal sig_true                 : std_logic := '1';
49
--   signal zero_sig                 : std_logic_vector(15 downto 0) := ZERP(15 downto 0);
50 8 rhoads
begin
51
 
52
reg_proc: process(clk, rs_index, rt_index, rd_index, reg_dest_new,
53 55 rhoads
      intr_enable_reg, data_out1, data_out2, reset_in)
54 8 rhoads
begin
55 9 rhoads
   --setup for first dual-port memory
56
   if rs_index = "101110" then  --reg_epc CP0 14
57
      addr_a1 <= "00000";
58
   else
59
      addr_a1 <= rs_index(4 downto 0);
60
   end if;
61 8 rhoads
   case rs_index is
62
   when "000000" => reg_source_out <= ZERO;
63 55 rhoads
   when "101100" => reg_source_out <= ZERO(31 downto 1) & intr_enable_reg;
64 8 rhoads
   when "111111" => reg_source_out <= ZERO(31 downto 8) & "00110000"; --intr vector
65 9 rhoads
   when others   => reg_source_out <= data_out1;
66 8 rhoads
   end case;
67
 
68 9 rhoads
   --setup for second dual-port memory
69
   addr_a2 <= rt_index(4 downto 0);
70 8 rhoads
   case rt_index is
71
   when "000000" => reg_target_out <= ZERO;
72 9 rhoads
   when others   => reg_target_out <= data_out2;
73 8 rhoads
   end case;
74
 
75 9 rhoads
   --setup second port (write port) for both dual-port memories
76 74 rhoads
   if rd_index /= "000000" and rd_index /= "101100" and pause = '0' then
77 9 rhoads
      write_enable <= '1';
78
   else
79
      write_enable <= '0';
80
   end if;
81
   if rd_index = "101110" then  --reg_epc CP0 14
82
      addr_b <= "00000";
83
   else
84
      addr_b <= rd_index(4 downto 0);
85
   end if;
86
 
87 55 rhoads
   if reset_in = '1' then
88
      intr_enable_reg <= '0';
89
   elsif rising_edge(clk) then
90
      if rd_index = "101110" then  --reg_epc CP0 14
91
         intr_enable_reg <= '0';           --disable interrupts
92 24 rhoads
      elsif rd_index = "101100" then
93 55 rhoads
         intr_enable_reg <= reg_dest_new(0);
94 9 rhoads
      end if;
95 8 rhoads
   end if;
96
 
97 55 rhoads
   intr_enable <= intr_enable_reg;
98 9 rhoads
end process;
99 8 rhoads
 
100 9 rhoads
 
101 12 rhoads
------------------------------------------------------------
102
-- Pick only ONE of the dual-port RAM implementations below!
103
------------------------------------------------------------
104
 
105
 
106
   -- Option #1
107
   -- One tri-port RAM, two read-ports, one write-port
108
   -- 32 registers 32-bits wide
109 47 rhoads
   tri_port_mem:
110
   if memory_type = "GENERIC" generate
111
      ram_proc: process(clk, addr_a1, addr_a2, addr_b, reg_dest_new,
112
            write_enable)
113
      variable tri_port_ram : ram_type;
114
      begin
115
         data_out1 <= tri_port_ram(conv_integer(addr_a1));
116
         data_out2 <= tri_port_ram(conv_integer(addr_a2));
117
         if rising_edge(clk) then
118
            if write_enable = '1' then
119
               tri_port_ram(conv_integer(addr_b)) := reg_dest_new;
120
            end if;
121 12 rhoads
         end if;
122 47 rhoads
      end process;
123
   end generate; --tri_port_mem
124 9 rhoads
 
125
 
126 12 rhoads
   -- Option #2
127
   -- Two dual-port RAMs, each with one read-port and one write-port
128
   -- According to the Xilinx answers database record #4075 this 
129
   -- architecture may cause Synplify to infer synchronous dual-port 
130
   -- RAM using RAM16x1D.  
131 47 rhoads
   dual_port_mem:
132
   if memory_type = "DUAL_PORT" generate
133 55 rhoads
      ram_proc2: process(clk, addr_a1, addr_a2, addr_b, reg_dest_new,
134 47 rhoads
            write_enable)
135
      variable dual_port_ram1 : ram_type;
136
      variable dual_port_ram2 : ram_type;
137
      begin
138
         data_out1 <= dual_port_ram1(conv_integer(addr_a1));
139
         data_out2 <= dual_port_ram2(conv_integer(addr_a2));
140
         if rising_edge(clk) then
141
            if write_enable = '1' then
142
               dual_port_ram1(conv_integer(addr_b)) := reg_dest_new;
143
               dual_port_ram2(conv_integer(addr_b)) := reg_dest_new;
144
            end if;
145
         end if;
146
      end process;
147
   end generate; --dual_port_mem
148 9 rhoads
 
149
 
150 12 rhoads
   -- Option #3
151 9 rhoads
   -- Generic Two-Port Synchronous RAM
152
   -- generic_tpram can be obtained from:
153
   -- http://www.opencores.org/cvsweb.shtml/generic_memories/
154
   -- Supports ASICs (Artisan, Avant, and Virage) and Xilinx FPGA
155 47 rhoads
--   generic_mem:
156
--   if memory_type = "OPENCORES_MEM" generate
157
--      bank1 : generic_tpram port map (
158
--         clk_a  => clk,
159
--         rst_a  => '0',
160
--         ce_a   => '1',
161
--         we_a   => '0',
162
--         oe_a   => '1',
163
--         addr_a => addr_a1,
164
--         di_a   => ZERO,
165
--         do_a   => data_out1,
166 9 rhoads
--
167 47 rhoads
--         clk_b  => clk,
168
--         rst_b  => '0',
169
--         ce_b   => '1',
170
--         we_b   => write_enable,
171
--         oe_b   => '0',
172
--         addr_b => addr_b,
173
--         di_a   => reg_dest_new);
174 9 rhoads
--
175 47 rhoads
--      bank2 : generic_tpram port map (
176
--         clk_a  => clk,
177
--         rst_a  => '0',
178
--         ce_a   => '1',
179
--         we_a   => '0',
180
--         oe_a   => '1',
181
--         addr_a => addr_a2,
182
--         di_a   => ZERO,
183
--         do_a   => data_out2,
184 9 rhoads
--
185 47 rhoads
--         clk_b  => clk,
186
--         rst_b  => '0',
187
--         ce_b   => '1',
188
--         we_b   => write_enable,
189
--         oe_b   => '0',
190
--         addr_b => addr_b,
191
--         di_a   => reg_dest_new);
192
--   end generate; --generic_mem
193 9 rhoads
 
194
 
195 12 rhoads
   -- Option #4
196 9 rhoads
   -- Xilinx mode using four 16x16 banks
197 47 rhoads
--   xilinx_mem:
198
--   if memory_type = "XILINX" generate
199
--      bank1_high: ramb4_s16_s16 port map (
200
--         clka  => clk,
201
--         rsta  => sig_false,
202
--         addra => addr_a1,
203
--         dia   => zero_sig,
204
--         ena   => sig_true,
205
--         wea   => sig_false,
206
--         doa   => data_out1(31 downto 16),
207 9 rhoads
--
208 47 rhoads
--         clkb  => clk,
209
--         rstb  => sig_false,
210
--         addrb => addr_b,
211
--         dib   => reg_dest_new(31 downto 16),
212
--         enb   => sig_true,
213
--         web   => write_enable);
214 9 rhoads
--
215 47 rhoads
--      bank1_low: ramb4_s16_s16 port map (
216
--         clka  => clk,
217
--         rsta  => sig_false,
218
--         addra => addr_a1,
219
--         dia   => zero_sig,
220
--         ena   => sig_true,
221
--         wea   => sig_false,
222
--         doa   => data_out1(15 downto 0),
223 9 rhoads
--
224 47 rhoads
--         clkb  => clk,
225
--         rstb  => sig_false,
226
--         addrb => addr_b,
227
--         dib   => reg_dest_new(15 downto 0),
228
--         enb   => sig_true,
229
--         web   => write_enable);
230 9 rhoads
--
231 47 rhoads
--      bank2_high: ramb4_s16_s16 port map (
232
--         clka  => clk,
233
--         rsta  => sig_false,
234
--         addra => addr_a2,
235
--         dia   => zero_sig,
236
--         ena   => sig_true,
237
--         wea   => sig_false,
238
--         doa   => data_out2(31 downto 16),
239 9 rhoads
--
240 47 rhoads
--         clkb  => clk,
241
--         rstb  => sig_false,
242
--         addrb => addr_b,
243
--         dib   => reg_dest_new(31 downto 16),
244
--         enb   => sig_true,
245
--         web   => write_enable);
246 9 rhoads
--
247 47 rhoads
--      bank2_low: ramb4_s16_s16 port map (
248
--         clka  => clk,
249
--         rsta  => sig_false,
250
--         addra => addr_a2,
251
--         dia   => zero_sig,
252
--         ena   => sig_true,
253
--         wea   => sig_false,
254
--         doa   => data_out2(15 downto 0),
255 9 rhoads
--
256 47 rhoads
--         clkb  => clk,
257
--         rstb  => sig_false,
258
--         addrb => addr_b,
259
--         dib   => reg_dest_new(15 downto 0),
260
--         enb   => sig_true,
261
--         web   => write_enable);
262
--   end generate; --xilinx_mem
263 9 rhoads
 
264 8 rhoads
 
265 12 rhoads
   -- Option #5
266
   -- Altera LPM_RAM_DP
267 47 rhoads
   altera_mem:
268
   if memory_type = "ALTERA" generate
269
      lpm_ram_dp_component1 : lpm_ram_dp
270
      GENERIC MAP (
271
         lpm_width => 32,
272
         lpm_widthad => 5,
273
         rden_used => "FALSE",
274
         intended_device_family => "UNUSED",
275
         lpm_indata => "REGISTERED",
276
         lpm_wraddress_control => "REGISTERED",
277
         lpm_rdaddress_control => "UNREGISTERED",
278
         lpm_outdata => "UNREGISTERED",
279
         use_eab => "ON",
280
         lpm_type => "LPM_RAM_DP"
281
      )
282
      PORT MAP (
283
         wren => write_enable,
284
         wrclock => clk,
285
         data => reg_dest_new,
286
         rdaddress => addr_a1,
287
         wraddress => addr_b,
288
         q => data_out1
289
      );
290
      lpm_ram_dp_component2 : lpm_ram_dp
291
      GENERIC MAP (
292
         lpm_width => 32,
293
         lpm_widthad => 5,
294
         rden_used => "FALSE",
295
         intended_device_family => "UNUSED",
296
         lpm_indata => "REGISTERED",
297
         lpm_wraddress_control => "REGISTERED",
298
         lpm_rdaddress_control => "UNREGISTERED",
299
         lpm_outdata => "UNREGISTERED",
300
         use_eab => "ON",
301
         lpm_type => "LPM_RAM_DP"
302
      )
303
      PORT MAP (
304
         wren => write_enable,
305
         wrclock => clk,
306
         data => reg_dest_new,
307
         rdaddress => addr_a2,
308
         wraddress => addr_b,
309
         q => data_out2
310
      );
311
   end generate; --altera_mem
312 8 rhoads
 
313 12 rhoads
end; --architecture ram_block
314 2 rhoads
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.