1 |
2 |
__alexs__ |
-- --------------------------------------------------------------------------
|
2 |
|
|
-- >>>>>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<<<
|
3 |
|
|
-- --------------------------------------------------------------------------
|
4 |
|
|
-- TITLE: Plasma SHIFTER
|
5 |
|
|
-- AUTHOR: Alex Schoenberger (Alex.Schoenberger@ies.tu-darmstadt.de)
|
6 |
|
|
-- COMMENT: This project is based on Plasma CPU core by Steve Rhoads
|
7 |
|
|
--
|
8 |
|
|
-- www.ies.tu-darmstadt.de
|
9 |
|
|
-- TU Darmstadt
|
10 |
|
|
-- Institute for Integrated Systems
|
11 |
|
|
-- Merckstr. 25
|
12 |
|
|
--
|
13 |
|
|
-- 64283 Darmstadt - GERMANY
|
14 |
|
|
-- --------------------------------------------------------------------------
|
15 |
|
|
-- PROJECT: Plasma CPU core with FPU
|
16 |
|
|
-- FILENAME: plasma_shifter.vhd
|
17 |
|
|
-- --------------------------------------------------------------------------
|
18 |
|
|
-- COPYRIGHT:
|
19 |
|
|
-- This project is distributed by GPLv2.0
|
20 |
|
|
-- Software placed into the public domain by the author.
|
21 |
|
|
-- Software 'as is' without warranty. Author liable for nothing.
|
22 |
|
|
-- --------------------------------------------------------------------------
|
23 |
|
|
-- DESCRIPTION:
|
24 |
|
|
-- shifts input depending on amount value
|
25 |
|
|
--
|
26 |
|
|
-- SYNTHESIZABLE
|
27 |
|
|
--
|
28 |
|
|
----------------------------------------------------------------------------
|
29 |
|
|
-- Revision History
|
30 |
|
|
-- --------------------------------------------------------------------------
|
31 |
|
|
-- Revision Date Author CHANGES
|
32 |
|
|
-- 1.0 4/2014 AS initial
|
33 |
|
|
-- 2.0 5/2015 AS works with MIPS commands directly
|
34 |
|
|
-- --------------------------------------------------------------------------
|
35 |
|
|
library IEEE;
|
36 |
|
|
use IEEE.std_logic_1164.ALL;
|
37 |
|
|
use IEEE.numeric_std.ALL;
|
38 |
|
|
|
39 |
|
|
library PLASMA;
|
40 |
|
|
use PLASMA.mips_instruction_set.ALL;
|
41 |
|
|
use PLASMA.plasma_pack.ALL;
|
42 |
|
|
|
43 |
|
|
entity plasma_shifter is
|
44 |
|
|
port(
|
45 |
|
|
shift_in : in t_plasma_word;
|
46 |
|
|
shift_amount : in t_mips_shamt;
|
47 |
|
|
shift_func : in t_mips_function;
|
48 |
|
|
shift_out : out t_plasma_word
|
49 |
|
|
);
|
50 |
|
|
end entity plasma_shifter;
|
51 |
|
|
|
52 |
|
|
-- synthesis translate_off
|
53 |
|
|
-- ____ _ _ _ _ _ _ ____ ___ _ ____ _ _
|
54 |
|
|
-- [__ | |\/| | | | |__| | | | | |\ |
|
55 |
|
|
-- ___] | | | |__| |___ | | | | |__| | \|
|
56 |
|
|
architecture sim_shifter of plasma_shifter is
|
57 |
|
|
|
58 |
|
|
begin
|
59 |
|
|
|
60 |
|
|
with shift_func select
|
61 |
|
|
shift_out <=
|
62 |
|
|
std_logic_vector(shift_left(unsigned(shift_in), to_integer(unsigned(shift_amount)))) when MIPS_FUNC_SLL | MIPS_FUNC_SLLV,
|
63 |
|
|
std_logic_vector(shift_right(unsigned(shift_in),to_integer(unsigned(shift_amount)))) when MIPS_FUNC_SRL | MIPS_FUNC_SRLV,
|
64 |
|
|
std_logic_vector(shift_right(signed(shift_in), to_integer(unsigned(shift_amount)))) when MIPS_FUNC_SRA | MIPS_FUNC_SRAV,
|
65 |
|
|
shift_in when others;
|
66 |
|
|
|
67 |
|
|
end architecture sim_shifter;
|
68 |
|
|
-- synthesis translate_on
|
69 |
|
|
|
70 |
|
|
-- ____ ___ ____ ____
|
71 |
|
|
-- |___ |__] | __ |__|
|
72 |
|
|
-- | | |__] | |
|
73 |
|
|
architecture FPGA_shifter of plasma_shifter is
|
74 |
|
|
|
75 |
|
|
-- wire paths for generation
|
76 |
|
|
type t_plasma_shifter_prop is array(PLASMA_SHIFTER_WIDTH downto 0) of t_plasma_word; -- propagation path
|
77 |
|
|
type t_plasma_shifter_comp is array(PLASMA_SHIFTER_WIDTH - 1 downto 0) of t_plasma_word; -- composition path
|
78 |
|
|
|
79 |
|
|
-- control signals
|
80 |
|
|
signal shifter_left : std_logic;
|
81 |
|
|
signal shifter_right : std_logic;
|
82 |
|
|
|
83 |
|
|
-- path signals
|
84 |
|
|
signal shifter_fill : t_plasma_word;
|
85 |
|
|
signal shifter_prop : t_plasma_shifter_prop;
|
86 |
|
|
signal shifter_comp : t_plasma_shifter_comp;
|
87 |
|
|
|
88 |
|
|
begin
|
89 |
|
|
-- decode shifting command
|
90 |
|
|
shifter_left <= '1' when (shift_func = MIPS_FUNC_SLL) or (shift_func = MIPS_FUNC_SLLV) else '0';
|
91 |
|
|
shifter_right <= '1' when (shift_func = MIPS_FUNC_SRL) or (shift_func = MIPS_FUNC_SRLV)
|
92 |
|
|
or (shift_func = MIPS_FUNC_SRA) or (shift_func = MIPS_FUNC_SRAV) else '0';
|
93 |
|
|
|
94 |
|
|
-- fill value
|
95 |
|
|
shifter_fill <= (others => shift_in(PLASMA_DATA_WIDTH - 1)) when (shift_func = MIPS_FUNC_SRA) or (shift_func = MIPS_FUNC_SRAV) else PLASMA_ZERO_WORD;
|
96 |
|
|
|
97 |
|
|
-- injure first propagation vector
|
98 |
|
|
shifter_prop(0) <= shift_in;
|
99 |
|
|
|
100 |
|
|
shifter_structure: for i in 0 to PLASMA_SHIFTER_WIDTH - 1 generate
|
101 |
|
|
shifter_mux_input:
|
102 |
|
|
shifter_comp(i) <= shifter_prop(i)(PLASMA_DATA_WIDTH - 2**i - 1 downto 0) & PLASMA_ZERO_WORD(2**i - 1 downto 0) when shifter_left = '1' else
|
103 |
|
|
shifter_fill( 2**i - 1 downto 0) & shifter_prop(i)(PLASMA_DATA_WIDTH - 1 downto 2**i) when shifter_right = '1' else
|
104 |
|
|
shifter_prop(i);
|
105 |
|
|
|
106 |
|
|
shifter_mux_step:
|
107 |
|
|
shifter_prop(i + 1) <= shifter_comp(i) when shift_amount(i) = '1' else shifter_prop(i);
|
108 |
|
|
end generate;
|
109 |
|
|
|
110 |
|
|
shift_out <= shifter_prop(PLASMA_SHIFTER_WIDTH);
|
111 |
|
|
|
112 |
|
|
end architecture FPGA_shifter;
|