OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [trunk/] [doc/] [release_note.txt] - Blame information for rev 101

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 pela
pltbutils release_note.txt
2
 
3 101 pela
beta004 January 3, 2016
4
1. Added skiptest argument to startsim().
5
2. Added function is_test_active().
6
3. Updated examples, testbenches and templates.
7
4. Updated specification_pltbutils.docx / .pdf
8
 
9 99 pela
beta0003 November 23, 2015
10
1. Added check() for boolean.
11
2. Added check() for boolean against integer.
12
3. Added check() for time.
13
4. Added check() for time with tolerance. Suggested by Stefan Eriksson.
14
5. Updated tb_pltbutils.vhd with tests for the new check() procedures.
15
6. Updated specification_pltbutils.docx / .pdf
16
 
17
Thanks to Stefan Eriksson for suggesting features and providing feedback
18
on documentation.
19
 
20 97 pela
beta0002 February 2, 2015
21
1. endsim(): renamed argument from force to force_stop for clarity.
22
2. print2(string, string): corrected call, from print() to print2().
23
3. print2(pltbv_t, pltbs_t, string): corrected call, from print() to print2().
24
4. pltbutils_func_pkg.vhd: more comments.
25
5. waitsig(): added overloaded unclocked variant.
26
6. hxstr(): no longer wrapper for hstr, improved with unlimited length of
27
   argument s.
28
7. Updated author's email address in all files where applicable.
29
8: Updated specification_pltbutils.docx/.pdf.
30
 
31 91 pela
beta0001 April 9, 2014
32
1. Added check() for string.
33
 
34 84 pela
alpha0007 January 13, 2014
35
1. Renamed example/vhdl/*.* to examples/vhdl/examples2/*.*
36
   This is example code where the testcase process(es) are located
37
   in a testcase component, enabling multiple testcase architectures.
38
   Renamed sim/example_sim/ to sim/modelsim_tb_example2/
39
2. Created examples/vhdl/examples1/
40
   This is example code where the testcase process is located in the
41
   testbench top.
42
   Created sim/modelsim_tb_example1/
43
3. Renamed sim/bench_sim/ to sim/modelsim_tb_pltbutils/
44
4. Renamed template/vhdl/*.* to templates/vhdl/template2/*.*
45
5. Created templates/vhdl/template1/
46
6. Updated specification_pltbutils.docx/pdf to rev 0.5
47
 
48 53 pela
alpha0006 January 09, 2014
49
1. Replaced shared variables with a normal variable, and global signals with
50
   a normal signal.
51
   VHDL-2000 and later requires that shared variables use protected types,
52
   but protected types weren't available in earlier VHDL versions.
53
   As a consequence, some simulators in VHDL-200x mode require protected
54
   types. But some simulators still don't support protected types at all.
55
   To make pltbutils work in all (or at least in most) VHDL simulators,
56
   shared variables have now been removed.
57
   In previous versions of pltbutils, protected types were used by default.
58
   There were comments in the pltbutils code as an aid to modify the code
59
   for simulators that don't support protected types, but it was too much
60
   work to do the modifications. One possible solution could have been to
61
   make separate variants of pltbutils; one with, and one without protected
62
   types. But that solution was not tempting.
63
2. Removed src/vhdl/pltbutils_type_pkg.vhd .
64
3. Added doc/required_updates.txt .
65 32 pela
 
66
alpha0005 January 05, 2014
67
1. In pltbutils_func_pkg.vhd, added starttest() and endtest().
68
2. testname() is now depricated, and will be removed. Use starttest() instead.
69
3. Added pltbutils_user_cfg_pkg.vhd and modified pltbutils_func_pkg.vhd to
70
   support user configurable report messages, to support continous
71
   integration environments, e.g. TeamCity.
72
4. Updated specification.
73
 
74
alpha0004 December 3, 2013
75 19 pela
1. Corrected returned ranges from to_ascending() and to_descending()
76
   in pltbutils_func_pkg.vhd, to make them work with vectors where the lowest
77
   bit does not have number 0.
78
 
79 32 pela
alpha0003 December 2, 2013
80 16 pela
1. Added a line feed before printing the test name for clarity,
81
   in procedure testname() in pltbutils.vhd .
82
2. Added functions to_ascending(), to_descending() and hxstr()
83
   in pltbutils.vhd (not yet included in the specification).
84
3. check() in pltbutils.vhd now outputs hexadecimal values instead of
85
   binary values for std_logic_vector, unsigned and signed.
86
4. Updated tb_example.vhd, tc_example.vhd and tc1.vhd to feed
87
   the generic G_DISABLE_BUGS to tc1.
88
   The message "Bug here somewhere" is now only output when
89
   G_DISABLE_BUGS=0.
90
 
91 4 pela
alpha0002 November 10, 2013
92
1. Added doc/release_note.txt
93
2. Removed file paths from pltbutils_files.lst
94
3. Added overloaded print procedures with boolean argument called active,
95
   which is useful for debug switches, etc.
96
4. Added inverted clock output and a generic for setting initial value to
97
   pltbutils_clkgen in  pltbutils_comp.vhd and pltbutils_comp_pkg.vhd .
98
   The inverted clock output can be used when a differential
99
   clock is needed.
100
5. Added overloaded procedures waitsig().
101 32 pela
6. Updated specification.
102 4 pela
 
103
alpha0001 September 2, 2013
104
1. First commit
105 32 pela
 
106
April 14, 2013
107
1. PlTbUtils project registered on OpenCores.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.