OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [trunk/] [doc/] [release_note.txt] - Blame information for rev 105

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 103 pela
pltbutils release_note.txt
2
 
3 105 pela
v1.1 August 14, 2018
4
1. Corrected handling of skipped tests.
5
   Thanks to Kent Damberg for finding the bug.
6
 
7 103 pela
v1.0 January 26, 2016
8
1. Updated specification_pltbutils.docx / .pdf
9
 
10
beta004 January 3, 2016
11
1. Added skiptest argument to startsim().
12
2. Added function is_test_active().
13
3. Updated examples, testbenches and templates.
14
4. Updated specification_pltbutils.docx / .pdf
15
 
16
beta0003 November 23, 2015
17
1. Added check() for boolean.
18
2. Added check() for boolean against integer.
19
3. Added check() for time.
20
4. Added check() for time with tolerance. Suggested by Stefan Eriksson.
21
5. Updated tb_pltbutils.vhd with tests for the new check() procedures.
22
6. Updated specification_pltbutils.docx / .pdf
23
 
24
Thanks to Stefan Eriksson for suggesting features and providing feedback
25
on documentation.
26
 
27
beta0002 February 2, 2015
28
1. endsim(): renamed argument from force to force_stop for clarity.
29
2. print2(string, string): corrected call, from print() to print2().
30
3. print2(pltbv_t, pltbs_t, string): corrected call, from print() to print2().
31
4. pltbutils_func_pkg.vhd: more comments.
32
5. waitsig(): added overloaded unclocked variant.
33
6. hxstr(): no longer wrapper for hstr, improved with unlimited length of
34
   argument s.
35
7. Updated author's email address in all files where applicable.
36
8: Updated specification_pltbutils.docx/.pdf.
37
 
38
beta0001 April 9, 2014
39
1. Added check() for string.
40
 
41
alpha0007 January 13, 2014
42
1. Renamed example/vhdl/*.* to examples/vhdl/examples2/*.*
43
   This is example code where the testcase process(es) are located
44
   in a testcase component, enabling multiple testcase architectures.
45
   Renamed sim/example_sim/ to sim/modelsim_tb_example2/
46
2. Created examples/vhdl/examples1/
47
   This is example code where the testcase process is located in the
48
   testbench top.
49
   Created sim/modelsim_tb_example1/
50
3. Renamed sim/bench_sim/ to sim/modelsim_tb_pltbutils/
51
4. Renamed template/vhdl/*.* to templates/vhdl/template2/*.*
52
5. Created templates/vhdl/template1/
53
6. Updated specification_pltbutils.docx/pdf to rev 0.5
54
 
55
alpha0006 January 09, 2014
56
1. Replaced shared variables with a normal variable, and global signals with
57
   a normal signal.
58
   VHDL-2000 and later requires that shared variables use protected types,
59
   but protected types weren't available in earlier VHDL versions.
60
   As a consequence, some simulators in VHDL-200x mode require protected
61
   types. But some simulators still don't support protected types at all.
62
   To make pltbutils work in all (or at least in most) VHDL simulators,
63
   shared variables have now been removed.
64
   In previous versions of pltbutils, protected types were used by default.
65
   There were comments in the pltbutils code as an aid to modify the code
66
   for simulators that don't support protected types, but it was too much
67
   work to do the modifications. One possible solution could have been to
68
   make separate variants of pltbutils; one with, and one without protected
69
   types. But that solution was not tempting.
70
2. Removed src/vhdl/pltbutils_type_pkg.vhd .
71
3. Added doc/required_updates.txt .
72
 
73
alpha0005 January 05, 2014
74
1. In pltbutils_func_pkg.vhd, added starttest() and endtest().
75
2. testname() is now depricated, and will be removed. Use starttest() instead.
76
3. Added pltbutils_user_cfg_pkg.vhd and modified pltbutils_func_pkg.vhd to
77
   support user configurable report messages, to support continous
78
   integration environments, e.g. TeamCity.
79
4. Updated specification.
80
 
81
alpha0004 December 3, 2013
82
1. Corrected returned ranges from to_ascending() and to_descending()
83
   in pltbutils_func_pkg.vhd, to make them work with vectors where the lowest
84
   bit does not have number 0.
85
 
86
alpha0003 December 2, 2013
87
1. Added a line feed before printing the test name for clarity,
88
   in procedure testname() in pltbutils.vhd .
89
2. Added functions to_ascending(), to_descending() and hxstr()
90
   in pltbutils.vhd (not yet included in the specification).
91
3. check() in pltbutils.vhd now outputs hexadecimal values instead of
92
   binary values for std_logic_vector, unsigned and signed.
93
4. Updated tb_example.vhd, tc_example.vhd and tc1.vhd to feed
94
   the generic G_DISABLE_BUGS to tc1.
95
   The message "Bug here somewhere" is now only output when
96
   G_DISABLE_BUGS=0.
97
 
98
alpha0002 November 10, 2013
99
1. Added doc/release_note.txt
100
2. Removed file paths from pltbutils_files.lst
101
3. Added overloaded print procedures with boolean argument called active,
102
   which is useful for debug switches, etc.
103
4. Added inverted clock output and a generic for setting initial value to
104
   pltbutils_clkgen in  pltbutils_comp.vhd and pltbutils_comp_pkg.vhd .
105
   The inverted clock output can be used when a differential
106
   clock is needed.
107
5. Added overloaded procedures waitsig().
108
6. Updated specification.
109
 
110
alpha0001 September 2, 2013
111
1. First commit
112
 
113
April 14, 2013
114
1. PlTbUtils project registered on OpenCores.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.