OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [trunk/] [doc/] [release_note.txt] - Blame information for rev 99

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 pela
pltbutils release_note.txt
2
 
3 99 pela
beta0003 November 23, 2015
4
1. Added check() for boolean.
5
2. Added check() for boolean against integer.
6
3. Added check() for time.
7
4. Added check() for time with tolerance. Suggested by Stefan Eriksson.
8
5. Updated tb_pltbutils.vhd with tests for the new check() procedures.
9
6. Updated specification_pltbutils.docx / .pdf
10
 
11
Thanks to Stefan Eriksson for suggesting features and providing feedback
12
on documentation.
13
 
14 97 pela
beta0002 February 2, 2015
15
1. endsim(): renamed argument from force to force_stop for clarity.
16
2. print2(string, string): corrected call, from print() to print2().
17
3. print2(pltbv_t, pltbs_t, string): corrected call, from print() to print2().
18
4. pltbutils_func_pkg.vhd: more comments.
19
5. waitsig(): added overloaded unclocked variant.
20
6. hxstr(): no longer wrapper for hstr, improved with unlimited length of
21
   argument s.
22
7. Updated author's email address in all files where applicable.
23
8: Updated specification_pltbutils.docx/.pdf.
24
 
25 91 pela
beta0001 April 9, 2014
26
1. Added check() for string.
27
 
28 84 pela
alpha0007 January 13, 2014
29
1. Renamed example/vhdl/*.* to examples/vhdl/examples2/*.*
30
   This is example code where the testcase process(es) are located
31
   in a testcase component, enabling multiple testcase architectures.
32
   Renamed sim/example_sim/ to sim/modelsim_tb_example2/
33
2. Created examples/vhdl/examples1/
34
   This is example code where the testcase process is located in the
35
   testbench top.
36
   Created sim/modelsim_tb_example1/
37
3. Renamed sim/bench_sim/ to sim/modelsim_tb_pltbutils/
38
4. Renamed template/vhdl/*.* to templates/vhdl/template2/*.*
39
5. Created templates/vhdl/template1/
40
6. Updated specification_pltbutils.docx/pdf to rev 0.5
41
 
42 53 pela
alpha0006 January 09, 2014
43
1. Replaced shared variables with a normal variable, and global signals with
44
   a normal signal.
45
   VHDL-2000 and later requires that shared variables use protected types,
46
   but protected types weren't available in earlier VHDL versions.
47
   As a consequence, some simulators in VHDL-200x mode require protected
48
   types. But some simulators still don't support protected types at all.
49
   To make pltbutils work in all (or at least in most) VHDL simulators,
50
   shared variables have now been removed.
51
   In previous versions of pltbutils, protected types were used by default.
52
   There were comments in the pltbutils code as an aid to modify the code
53
   for simulators that don't support protected types, but it was too much
54
   work to do the modifications. One possible solution could have been to
55
   make separate variants of pltbutils; one with, and one without protected
56
   types. But that solution was not tempting.
57
2. Removed src/vhdl/pltbutils_type_pkg.vhd .
58
3. Added doc/required_updates.txt .
59 32 pela
 
60
alpha0005 January 05, 2014
61
1. In pltbutils_func_pkg.vhd, added starttest() and endtest().
62
2. testname() is now depricated, and will be removed. Use starttest() instead.
63
3. Added pltbutils_user_cfg_pkg.vhd and modified pltbutils_func_pkg.vhd to
64
   support user configurable report messages, to support continous
65
   integration environments, e.g. TeamCity.
66
4. Updated specification.
67
 
68
alpha0004 December 3, 2013
69 19 pela
1. Corrected returned ranges from to_ascending() and to_descending()
70
   in pltbutils_func_pkg.vhd, to make them work with vectors where the lowest
71
   bit does not have number 0.
72
 
73 32 pela
alpha0003 December 2, 2013
74 16 pela
1. Added a line feed before printing the test name for clarity,
75
   in procedure testname() in pltbutils.vhd .
76
2. Added functions to_ascending(), to_descending() and hxstr()
77
   in pltbutils.vhd (not yet included in the specification).
78
3. check() in pltbutils.vhd now outputs hexadecimal values instead of
79
   binary values for std_logic_vector, unsigned and signed.
80
4. Updated tb_example.vhd, tc_example.vhd and tc1.vhd to feed
81
   the generic G_DISABLE_BUGS to tc1.
82
   The message "Bug here somewhere" is now only output when
83
   G_DISABLE_BUGS=0.
84
 
85 4 pela
alpha0002 November 10, 2013
86
1. Added doc/release_note.txt
87
2. Removed file paths from pltbutils_files.lst
88
3. Added overloaded print procedures with boolean argument called active,
89
   which is useful for debug switches, etc.
90
4. Added inverted clock output and a generic for setting initial value to
91
   pltbutils_clkgen in  pltbutils_comp.vhd and pltbutils_comp_pkg.vhd .
92
   The inverted clock output can be used when a differential
93
   clock is needed.
94
5. Added overloaded procedures waitsig().
95 32 pela
6. Updated specification.
96 4 pela
 
97
alpha0001 September 2, 2013
98
1. First commit
99 32 pela
 
100
April 14, 2013
101
1. PlTbUtils project registered on OpenCores.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.