OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [example/] [nexys4_constraints.xdc] - Blame information for rev 61

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 skordal
# The Potato Processor - A simple processor for FPGAs
2
# (c) Kristian Klomsten Skordal 2014 
3
# Report bugs and issues on 
4 7 skordal
 
5 12 skordal
# Set operating conditions to improve temperature estimation:
6 7 skordal
set_operating_conditions -airflow 0
7
set_operating_conditions -heatsink low
8
 
9
# Clock:
10
set_property PACKAGE_PIN E3 [get_ports clk]
11
        set_property IOSTANDARD LVCMOS33 [get_ports clk]
12
        create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
13
 
14
 # Reset:
15
 set_property PACKAGE_PIN C12 [get_ports reset_n]
16
        set_property IOSTANDARD LVCMOS33 [get_ports reset_n]
17
 
18
 # External interrupt button:
19
 set_property PACKAGE_PIN E16 [get_ports external_interrupt]
20
        set_property IOSTANDARD LVCMOS33 [get_ports external_interrupt]
21
 
22 61 skordal
# UART lines:
23 7 skordal
set_property PACKAGE_PIN C4 [get_ports uart_rxd]
24
        set_property IOSTANDARD LVCMOS33 [get_ports uart_rxd]
25
set_property PACKAGE_PIN D4 [get_ports uart_txd]
26
        set_property IOSTANDARD LVCMOS33 [get_ports uart_txd]
27
 
28
# Switches:
29
set_property PACKAGE_PIN U9 [get_ports {switches[0]}]
30
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[0]}]
31
set_property PACKAGE_PIN U8 [get_ports {switches[1]}]
32
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[1]}]
33
set_property PACKAGE_PIN R7 [get_ports {switches[2]}]
34
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[2]}]
35
set_property PACKAGE_PIN R6 [get_ports {switches[3]}]
36
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[3]}]
37
set_property PACKAGE_PIN R5 [get_ports {switches[4]}]
38
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[4]}]
39
set_property PACKAGE_PIN V7 [get_ports {switches[5]}]
40
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[5]}]
41
set_property PACKAGE_PIN V6 [get_ports {switches[6]}]
42
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[6]}]
43
set_property PACKAGE_PIN V5 [get_ports {switches[7]}]
44
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[7]}]
45
set_property PACKAGE_PIN U4 [get_ports {switches[8]}]
46
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[8]}]
47
set_property PACKAGE_PIN V2 [get_ports {switches[9]}]
48
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[9]}]
49
set_property PACKAGE_PIN U2 [get_ports {switches[10]}]
50
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[10]}]
51
set_property PACKAGE_PIN T3 [get_ports {switches[11]}]
52
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[11]}]
53
set_property PACKAGE_PIN T1 [get_ports {switches[12]}]
54
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[12]}]
55
set_property PACKAGE_PIN R3 [get_ports {switches[13]}]
56
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[13]}]
57
set_property PACKAGE_PIN P3 [get_ports {switches[14]}]
58
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[14]}]
59
set_property PACKAGE_PIN P4 [get_ports {switches[15]}]
60
        set_property IOSTANDARD LVCMOS33 [get_ports {switches[15]}]
61
 
62
# LEDs:
63
set_property PACKAGE_PIN T8 [get_ports {leds[0]}]
64
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[0]}]
65
set_property PACKAGE_PIN V9 [get_ports {leds[1]}]
66
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[1]}]
67
set_property PACKAGE_PIN R8 [get_ports {leds[2]}]
68
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[2]}]
69
set_property PACKAGE_PIN T6 [get_ports {leds[3]}]
70
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[3]}]
71
set_property PACKAGE_PIN T5 [get_ports {leds[4]}]
72
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[4]}]
73
set_property PACKAGE_PIN T4 [get_ports {leds[5]}]
74
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[5]}]
75
set_property PACKAGE_PIN U7 [get_ports {leds[6]}]
76
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[6]}]
77
set_property PACKAGE_PIN U6 [get_ports {leds[7]}]
78
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[7]}]
79
set_property PACKAGE_PIN V4 [get_ports {leds[8]}]
80
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[8]}]
81
set_property PACKAGE_PIN U3 [get_ports {leds[9]}]
82
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[9]}]
83
set_property PACKAGE_PIN V1 [get_ports {leds[10]}]
84
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[10]}]
85
set_property PACKAGE_PIN R1 [get_ports {leds[11]}]
86
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[11]}]
87
set_property PACKAGE_PIN P5 [get_ports {leds[12]}]
88
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[12]}]
89
set_property PACKAGE_PIN U1 [get_ports {leds[13]}]
90
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[13]}]
91
set_property PACKAGE_PIN R2 [get_ports {leds[14]}]
92
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[14]}]
93
set_property PACKAGE_PIN P2 [get_ports {leds[15]}]
94 12 skordal
        set_property IOSTANDARD LVCMOS33 [get_ports {leds[15]}]
95 61 skordal
 
96
## 7-Segment displays:
97
set_property PACKAGE_PIN L3 [get_ports {seg7_cathode[0]}]
98
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[0]}]
99
set_property PACKAGE_PIN N1 [get_ports {seg7_cathode[1]}]
100
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[1]}]
101
set_property PACKAGE_PIN L5 [get_ports {seg7_cathode[2]}]
102
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[2]}]
103
set_property PACKAGE_PIN L4 [get_ports {seg7_cathode[3]}]
104
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[3]}]
105
set_property PACKAGE_PIN K3 [get_ports {seg7_cathode[4]}]
106
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[4]}]
107
set_property PACKAGE_PIN M2 [get_ports {seg7_cathode[5]}]
108
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[5]}]
109
set_property PACKAGE_PIN L6 [get_ports {seg7_cathode[6]}]
110
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_cathode[6]}]
111
 
112
set_property PACKAGE_PIN N6 [get_ports {seg7_anode[0]}]
113
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[0]}]
114
set_property PACKAGE_PIN M6 [get_ports {seg7_anode[1]}]
115
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[1]}]
116
set_property PACKAGE_PIN M3 [get_ports {seg7_anode[2]}]
117
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[2]}]
118
set_property PACKAGE_PIN N5 [get_ports {seg7_anode[3]}]
119
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[3]}]
120
set_property PACKAGE_PIN N2 [get_ports {seg7_anode[4]}]
121
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[4]}]
122
set_property PACKAGE_PIN N4 [get_ports {seg7_anode[5]}]
123
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[5]}]
124
set_property PACKAGE_PIN L1 [get_ports {seg7_anode[6]}]
125
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[6]}]
126
set_property PACKAGE_PIN M1 [get_ports {seg7_anode[7]}]
127
        set_property IOSTANDARD LVCMOS33 [get_ports {seg7_anode[7]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.