OpenCores
URL https://opencores.org/ocsvn/ppx16/ppx16/trunk

Subversion Repositories ppx16

[/] [ppx16/] [trunk/] [bench/] [vhdl/] [TestBench55.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jesus
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use work.StimLog.all;
4
 
5
entity TestBench55 is
6
end TestBench55;
7
 
8
architecture behaviour of TestBench55 is
9
 
10
        signal Clk              : std_logic := '0';
11
        signal Reset_n  : std_logic := '0';
12
        signal T0CKI    : std_logic := '0';
13
        signal Port_A   : std_logic_vector(7 downto 0);
14
        signal Port_B   : std_logic_vector(7 downto 0);
15
        signal Port_C   : std_logic_vector(7 downto 0);
16
 
17
begin
18
 
19
        p1 : entity work.P16C55 port map (Clk, Reset_n, T0CKI, Port_A, Port_B, Port_C);
20
 
21
        as : AsyncStim generic map(FileName => "PPX16.vhd", InterCharDelay => 300 us, Baud => 48000, Bits => 8)
22
                                port map(Port_A(1));
23
 
24
        al : AsyncLog generic map(FileName => "RX_Log.txt", Baud => 48000, Bits => 8)
25
                                port map(Port_A(0));
26
 
27
        Clk <= not Clk after 50 ns;
28
        Reset_n <= '1' after 200 ns;
29
 
30
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.