OpenCores
URL https://opencores.org/ocsvn/ppx16/ppx16/trunk

Subversion Repositories ppx16

[/] [ppx16/] [trunk/] [bench/] [vhdl/] [TestBench84.vhd] - Blame information for rev 22

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jesus
library IEEE;
2
use IEEE.std_logic_1164.all;
3
 
4
entity TestBench84 is
5
end TestBench84;
6
 
7
architecture behaviour of TestBench84 is
8
 
9
        signal Clk              : std_logic := '0';
10
        signal Reset_n  : std_logic := '0';
11
        signal T0CKI    : std_logic := '0';
12
        signal INT              : std_logic := '0';
13
        signal Port_A   : std_logic_vector(7 downto 0);
14
        signal Port_B   : std_logic_vector(7 downto 0);
15
 
16
begin
17
 
18
        p1 : entity work.P16F84 port map (Clk, Reset_n, T0CKI, INT, Port_A, Port_B);
19
 
20
        Clk <= not Clk after 50 ns;
21
        Reset_n <= '1' after 200 ns;
22 12 jesus
        INT <= not INT after 20 us;
23 3 jesus
 
24
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.