OpenCores
URL https://opencores.org/ocsvn/ppx16/ppx16/trunk

Subversion Repositories ppx16

[/] [ppx16/] [trunk/] [rtl/] [vhdl/] [PPX_Port.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jesus
--
2
-- PIC16xx compatible microcontroller core
3
--
4
-- Version : 0146
5
--
6
-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)
7
--
8
-- All rights reserved
9
--
10
-- Redistribution and use in source and synthezised forms, with or without
11
-- modification, are permitted provided that the following conditions are met:
12
--
13
-- Redistributions of source code must retain the above copyright notice,
14
-- this list of conditions and the following disclaimer.
15
--
16
-- Redistributions in synthesized form must reproduce the above copyright
17
-- notice, this list of conditions and the following disclaimer in the
18
-- documentation and/or other materials provided with the distribution.
19
--
20
-- Neither the name of the author nor the names of other contributors may
21
-- be used to endorse or promote products derived from this software without
22
-- specific prior written permission.
23
--
24
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
25
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
26
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
27
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
28
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
29
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
30
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
31
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
32
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
33
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
34
-- POSSIBILITY OF SUCH DAMAGE.
35
--
36
-- Please report bugs to the author, but before you do so, please
37
-- make sure that this is not a derivative work and that
38
-- you have the latest version of this file.
39
--
40
-- The latest version of this file can be found at:
41
--      http://www.opencores.org/cvsweb.shtml/t51/
42
--
43
-- Limitations :
44
--      Registers implemented in this entity are INDF, PCL, STATUS, FSR, (PCLATH)
45
--      other registers must be implemented externally including GPR
46
--
47
-- File history :
48
--
49
 
50
library IEEE;
51
use IEEE.std_logic_1164.all;
52
 
53
entity PPX_Port is
54
        port(
55
                Clk                     : in std_logic;
56
                Reset_n         : in std_logic;
57
                Port_CS         : in std_logic;
58
                Rd                      : in std_logic;
59
                Wr                      : in std_logic;
60
                Tris_Rd         : in std_logic;
61
                Tris_Wr         : in std_logic;
62
                Data_In         : in std_logic_vector(7 downto 0);
63
                Data_Out        : out std_logic_vector(7 downto 0);
64
                IOPort          : inout std_logic_vector(7 downto 0)
65
        );
66
end PPX_Port;
67
 
68
architecture rtl of PPX_Port is
69
 
70
        signal Tris                     : std_logic_vector(7 downto 0);
71
        signal Port_Output      : std_logic_vector(7 downto 0);
72
        signal Port_Input       : std_logic_vector(7 downto 0);
73
 
74
begin
75
 
76
        IOPort(0) <= Port_Output(0) when Tris(0) = '0' else 'Z';
77
        IOPort(1) <= Port_Output(1) when Tris(1) = '0' else 'Z';
78
        IOPort(2) <= Port_Output(2) when Tris(2) = '0' else 'Z';
79
        IOPort(3) <= Port_Output(3) when Tris(3) = '0' else 'Z';
80
        IOPort(4) <= Port_Output(4) when Tris(4) = '0' else 'Z';
81
        IOPort(5) <= Port_Output(5) when Tris(5) = '0' else 'Z';
82
        IOPort(6) <= Port_Output(6) when Tris(6) = '0' else 'Z';
83
        IOPort(7) <= Port_Output(7) when Tris(7) = '0' else 'Z';
84
 
85
        Data_Out <= Port_Input when Port_CS = '1' and Rd = '1' else "ZZZZZZZZ";
86
 
87
        process (Clk)
88
        begin
89
                if Clk'event and Clk = '1' then
90
                        Port_Input <= IOPort;   -- Synchronise input
91
                        if Port_CS = '1' and Wr = '1' then
92
                                Port_Output <= Data_In;
93
                                Port_Input <= Data_In;
94
                        end if;
95
                end if;
96
        end process;
97
 
98
        Data_Out <= Tris when Tris_Rd = '1' else "ZZZZZZZZ";
99
 
100
        process (Reset_n, Clk)
101
        begin
102
                if Reset_n = '0' then
103
                        Tris <= "11111111";
104
                elsif Clk'event and Clk = '1' then
105
                        if Tris_Wr = '1' then
106
                                Tris <= Data_In;
107
                        end if;
108
                end if;
109
        end process;
110
 
111
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.