OpenCores
URL https://opencores.org/ocsvn/ppx16/ppx16/trunk

Subversion Repositories ppx16

[/] [ppx16/] [trunk/] [rtl/] [vhdl/] [PPX_RAM.vhd] - Blame information for rev 24

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jesus
--
2
-- PIC16xx compatible microcontroller core
3
--
4 10 jesus
-- Version : 0221
5 3 jesus
--
6
-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)
7
--
8
-- All rights reserved
9
--
10
-- Redistribution and use in source and synthezised forms, with or without
11
-- modification, are permitted provided that the following conditions are met:
12
--
13
-- Redistributions of source code must retain the above copyright notice,
14
-- this list of conditions and the following disclaimer.
15
--
16
-- Redistributions in synthesized form must reproduce the above copyright
17
-- notice, this list of conditions and the following disclaimer in the
18
-- documentation and/or other materials provided with the distribution.
19
--
20
-- Neither the name of the author nor the names of other contributors may
21
-- be used to endorse or promote products derived from this software without
22
-- specific prior written permission.
23
--
24
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
25
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
26
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
27
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
28
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
29
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
30
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
31
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
32
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
33
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
34
-- POSSIBILITY OF SUCH DAMAGE.
35
--
36
-- Please report bugs to the author, but before you do so, please
37
-- make sure that this is not a derivative work and that
38
-- you have the latest version of this file.
39
--
40
-- The latest version of this file can be found at:
41
--      http://www.opencores.org/cvsweb.shtml/t51/
42
--
43
-- Limitations :
44
--      Registers implemented in this entity are INDF, PCL, STATUS, FSR, (PCLATH)
45
--      other registers must be implemented externally including GPR
46
--
47
-- File history :
48
--
49
 
50
library IEEE;
51
use IEEE.std_logic_1164.all;
52
use IEEE.numeric_std.all;
53
 
54
entity PPX_RAM is
55
        generic(
56
                Bottom          : integer;
57
                Top                     : integer;
58
                AddrWidth       : integer
59
        );
60
        port(
61
                Clk                     : in std_logic;
62
                CS                      : in std_logic;
63
                Wr                      : in std_logic;
64
                Addr            : in std_logic_vector(AddrWidth - 1 downto 0);
65
                Data_In         : in std_logic_vector(7 downto 0);
66
                Data_Out        : out std_logic_vector(7 downto 0)
67
        );
68
end PPX_RAM;
69
 
70
architecture rtl of PPX_RAM is
71
 
72 10 jesus
        type RAM_Image is array (Top downto 0) of std_logic_vector(7 downto 0);
73 3 jesus
        signal  RAM                     : RAM_Image;
74 10 jesus
        signal  AddrRd          : std_logic_vector(AddrWidth - 1 downto 0);
75
        signal  AddrWr          : std_logic_vector(AddrWidth - 1 downto 0);
76 3 jesus
 
77
begin
78
 
79
        process (Clk)
80
        begin
81
                if Clk'event and Clk = '1' then
82 10 jesus
                        AddrRd <= Addr;
83
                        AddrWr <= Addr;
84 3 jesus
                        if CS = '1' and Wr = '1' then
85 10 jesus
                                RAM(to_integer(unsigned(AddrWr))) <= Data_In;
86 3 jesus
                        end if;
87
                end if;
88
        end process;
89
 
90 10 jesus
        Data_Out <= RAM(to_integer(unsigned(AddrRd)))
91
-- pragma translate_off
92 11 jesus
                when to_integer(unsigned(AddrRd)) >= Bottom and to_integer(unsigned(AddrRd)) <= Top else "--------"
93 10 jesus
-- pragma translate_on
94
        ;
95 3 jesus
 
96
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.