OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

[/] [present/] [trunk/] [DecodeTesting/] [sim/] [rtl_sim/] [bin/] [test/] [data2.txt] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 gajos
11010010
2
 
3
1
4
 
5
 
6
1
7
 
8
1
9
1
10
1
11
00010000
12
 
13
 
14
 
15
 
16
1
17
 
18
 
19
 
20
 
21
00110010
22
 
23
1
24
 
25
 
26
1
27
1
28
 
29
 
30
 
31
00100001
32
1
33
 
34
 
35
 
36
 
37
1
38
 
39
 
40
1
41
11010011
42
1
43
1
44
 
45
 
46
1
47
 
48
1
49
1
50
 
51
11011100
52
 
53
 
54
1
55
1
56
1
57
 
58
1
59
1
60
 
61
00110011
62
1
63
1
64
 
65
 
66
1
67
1
68
 
69
 
70
1
71
00110011
72
1
73
1
74
 
75
 
76
1
77
1
78
 
79
 
80
1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.