OpenCores
URL https://opencores.org/ocsvn/priority_encoder/priority_encoder/trunk

Subversion Repositories priority_encoder

[/] [priority_encoder/] [trunk/] [makev.bat] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 UA3MQJ
iverilog -o test -I./ -y./ testbench.v
2
vvp test
3
pause

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.