OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [tags/] [INITIAL/] [bench/] [input.vhdl] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: input.vhdl,v 1.1.1.1 2005-11-15 01:51:28 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Input Data
4
-- Project     : 
5
-------------------------------------------------------------------------------
6
-- File        : input.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/11/01
9
-- Last update : 
10
-- Simulators  :
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : わかりません
15
-------------------------------------------------------------------------------
16
-- Copyright (C) 2005 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41
 
42
library ieee;
43
use ieee.std_logic_1164.all;
44
use ieee.std_logic_arith.all;
45
use ieee.std_logic_unsigned.all;
46
use std.textio.all;
47
 
48
entity input is
49
   port (
50
      clock   : out bit;
51
      start   : out bit;
52
      rxin    : out bit_vector (07 downto 00)
53
      );
54
end input;
55
 
56
architecture test_bench of input is
57
 
58
type char_to_stdlogic_t is array (character) of std_logic;
59
constant to_std_logic : char_to_stdlogic_t := (
60
   'U' => 'U',
61
   'X' => 'X',
62
   '0' => '0',
63
   '1' => '1',
64
   'Z' => 'Z',
65
   'W' => 'L',
66
   'H' => 'H',
67
   '-' => '-',
68
others => 'X'
69
   );
70
 
71
file start_ptr : text open read_mode is "../data/start.txt";
72
file rxin_ptr  : text open read_mode is "../data/rxin100DB.txt";
73
 
74
begin
75
   process
76
   variable start_ln  : line;
77
   variable rxin_ln   : line;
78
   variable delay     : time := 1 ns;
79
   variable start_str : string (01 to 01) := " ";
80
   variable rxin_str  : string (01 to 08) := "        ";
81
   variable rxin_len  : integer;
82
   variable start_var : std_logic;
83
   variable rxin_var  : std_logic_vector (07 downto 00);
84
   begin
85
      while not (endfile(start_ptr) and endfile(rxin_ptr)) loop
86
 
87
      readline(start_ptr, start_ln);
88
 
89
      if (not(endfile(rxin_ptr))) then
90
         readline(rxin_ptr, rxin_ln);
91
      else
92
         write(rxin_ln, string'("00000000"));
93
      end if;
94
 
95
      if (start_ln /= NULL) and (start_ln'length > 0) and (rxin_ln /= NULL) and (rxin_ln'length > 0) then
96
 
97
         read(start_ln, start_str);
98
         read(rxin_ln, rxin_str);
99
         rxin_len  := rxin_str'length - 1;
100
 
101
         start_var := to_std_logic (start_str(01));
102
 
103
         for b in rxin_str'range loop
104
            rxin_var(rxin_len)   := to_std_logic (rxin_str(b));
105
            rxin_len             := rxin_len - 1;
106
         end loop;
107
 
108
         start    <= to_bit       (start_var);
109
         rxin     <= to_bitvector (rxin_var);
110
 
111
         clock <= '1';
112
         wait for delay;
113
         clock <= '0';
114
         wait for delay;
115
      end if;
116
      end loop;
117
   wait;
118
   end process;
119
end test_bench;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.