OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [tags/] [INITIAL/] [bench/] [modelsim_bench.vhdl] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: modelsim_bench.vhdl,v 1.1.1.1 2005-11-15 01:51:28 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Test bench top modules.
4
-- Project     : 
5
-------------------------------------------------------------------------------
6
-- File        : modelsim_bench.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/11/01
9
-- Last update : 
10
-- Simulators  :
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Top modules for test bench.
15
-------------------------------------------------------------------------------
16
-- Copyright (C) 2005 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41
 
42
library ieee;
43
use ieee.std_logic_1164.all;
44
use ieee.std_logic_arith.all;
45
use ieee.std_logic_unsigned.all;
46
 
47
entity modelsim_bench is
48
   port (
49
      y0d : out bit;
50
      y1d : out bit;
51
      y2d : out bit;
52
      y3d : out bit
53
      );
54
end modelsim_bench;
55
 
56
architecture structural of modelsim_bench is
57
 
58
   component product_code
59
      port (
60
         clock : in  bit;
61
         start : in  bit;
62
         rxin  : in  bit_vector (07 downto 00);
63
         y0d   : out bit;
64
         y1d   : out bit;
65
         y2d   : out bit;
66
         y3d   : out bit
67
         );
68
   end component;
69
 
70
   component input
71
      port (
72
         clock : out bit;
73
         start : out bit;
74
         rxin  : out bit_vector (07 downto 00)
75
         );
76
   end component;
77
 
78
   component output
79
      port (
80
         start : in bit;
81
         y0    : in bit;
82
         y1    : in bit;
83
         y2    : in bit;
84
         y3    : in bit
85
         );
86
   end component;
87
 
88
   signal clock : bit;
89
   signal start : bit;
90
   signal y0    : bit;
91
   signal y1    : bit;
92
   signal y2    : bit;
93
   signal y3    : bit;
94
   signal rxin  : bit_vector (07 downto 00);
95
 
96
   begin
97
 
98
   y0d <= y0;
99
   y1d <= y1;
100
   y2d <= y2;
101
   y3d <= y3;
102
 
103
   my_product_code : product_code
104
      port map (
105
         clock  => clock,
106
         start  => start,
107
         rxin   => rxin,
108
         y0d    => y0,
109
         y1d    => y1,
110
         y2d    => y2,
111
         y3d    => y3
112
         );
113
 
114
   my_input : input
115
      port map (
116
         clock => clock,
117
         start => start,
118
         rxin  => rxin
119
         );
120
 
121
   my_output : output
122
      port map (
123
         start => start,
124
         y0    => y0,
125
         y1    => y1,
126
         y2    => y2,
127
         y3    => y3
128
         );
129
 
130
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.