OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [tags/] [INITIAL/] [source/] [adder_08bit.vhdl] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: adder_08bit.vhdl,v 1.1.1.1 2005-11-15 01:52:30 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : 8 bit adder
4
-- Project     : 
5
-------------------------------------------------------------------------------
6
-- File        : adder_08bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/11/01
9
-- Last update : 
10
-- Simulators  :
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : 8 bit signed adder
15
-------------------------------------------------------------------------------
16
-- Copyright (C) 2005 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41
 
42
library IEEE;
43
use IEEE.std_logic_1164.all;
44
 
45
entity adder_08bit is
46
   port (
47
      addend_08bit  : in  bit_vector (07 downto 0);
48
      augend_08bit  : in  bit_vector (07 downto 0);
49
      adder08_output: out bit_vector (08 downto 0)
50
      );
51
end adder_08bit;
52
 
53
architecture structural of adder_08bit is
54
 
55
   component fulladder
56
      port (
57
      addend        : in   bit;
58
      augend        : in   bit;
59
      carry_in      : in   bit;
60
      sum           : out  bit;
61
      carry         : out  bit
62
      );
63
   end component;
64
 
65
signal c00 : bit;
66
signal c01 : bit;
67
signal c02 : bit;
68
signal c03 : bit;
69
signal c04 : bit;
70
signal c05 : bit;
71
signal c06 : bit;
72
signal c07 : bit;
73
signal c08 : bit;
74
signal over08 : bit;
75
signal adder08_output_int : bit_vector (08 downto 0);
76
 
77
begin
78
 
79
c00                     <= '0';
80
over08                  <= (addend_08bit (07) xor augend_08bit (07));
81
adder08_output_int (08) <= ((adder08_output_int (07) and over08) or
82
                           (c08 and (not (over08))));
83
adder08_output          <= adder08_output_int;
84
 
85
fa07 : fulladder
86
   port map (
87
      addend     => addend_08bit(07),
88
      augend     => augend_08bit(07),
89
      carry_in   => c07,
90
      sum        => adder08_output_int(07),
91
      carry      => c08
92
      );
93
 
94
fa06 : fulladder
95
   port map (
96
      addend     => addend_08bit(06),
97
      augend     => augend_08bit(06),
98
      carry_in   => c06,
99
      sum        => adder08_output_int(06),
100
      carry      => c07
101
      );
102
 
103
fa05 : fulladder
104
   port map (
105
      addend     => addend_08bit(05),
106
      augend     => augend_08bit(05),
107
      carry_in   => c05,
108
      sum        => adder08_output_int(05),
109
      carry      => c06
110
      );
111
 
112
fa04 : fulladder
113
   port map (
114
      addend     => addend_08bit(04),
115
      augend     => augend_08bit(04),
116
      carry_in   => c04,
117
      sum        => adder08_output_int(04),
118
      carry      => c05
119
      );
120
 
121
fa03 : fulladder
122
   port map (
123
      addend     => addend_08bit(03),
124
      augend     => augend_08bit(03),
125
      carry_in   => c03,
126
      sum        => adder08_output_int(03),
127
      carry      => c04
128
      );
129
 
130
fa02 : fulladder
131
   port map (
132
      addend     => addend_08bit(02),
133
      augend     => augend_08bit(02),
134
      carry_in   => c02,
135
      sum        => adder08_output_int(02),
136
      carry      => c03
137
      );
138
 
139
fa01 : fulladder
140
   port map (
141
      addend     => addend_08bit(01),
142
      augend     => augend_08bit(01),
143
      carry_in   => c01,
144
      sum        => adder08_output_int(01),
145
      carry      => c02
146
      );
147
 
148
fa00 : fulladder
149
   port map (
150
      addend     => addend_08bit(00),
151
      augend     => augend_08bit(00),
152
      carry_in   => c00,
153
      sum        => adder08_output_int(00),
154
      carry      => c01
155
      );
156
 
157
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.