OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [trunk/] [bench/] [input.vhdl] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: input.vhdl,v 1.1.1.1 2005-11-15 01:51:28 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : Input Data
4
-- Project     : 
5
-------------------------------------------------------------------------------
6
-- File        : input.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/11/01
9
-- Last update : 
10
-- Simulators  :
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14 14 arif_endro
-- Description : 
15 2 arif_endro
-------------------------------------------------------------------------------
16 14 arif_endro
-- Copyright (C) 2005 Arif Endro Nugroho
17 2 arif_endro
-------------------------------------------------------------------------------
18
-- 
19
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.std_logic_arith.all;
42
use ieee.std_logic_unsigned.all;
43
use std.textio.all;
44
 
45
entity input is
46
   port (
47
      clock   : out bit;
48
      start   : out bit;
49
      rxin    : out bit_vector (07 downto 00)
50
      );
51
end input;
52
 
53
architecture test_bench of input is
54
 
55
type char_to_stdlogic_t is array (character) of std_logic;
56
constant to_std_logic : char_to_stdlogic_t := (
57
   'U' => 'U',
58
   'X' => 'X',
59
   '0' => '0',
60
   '1' => '1',
61
   'Z' => 'Z',
62
   'W' => 'L',
63
   'H' => 'H',
64
   '-' => '-',
65
others => 'X'
66
   );
67
 
68
file start_ptr : text open read_mode is "../data/start.txt";
69
file rxin_ptr  : text open read_mode is "../data/rxin100DB.txt";
70
 
71
begin
72
   process
73
   variable start_ln  : line;
74
   variable rxin_ln   : line;
75
   variable delay     : time := 1 ns;
76
   variable start_str : string (01 to 01) := " ";
77
   variable rxin_str  : string (01 to 08) := "        ";
78
   variable rxin_len  : integer;
79
   variable start_var : std_logic;
80
   variable rxin_var  : std_logic_vector (07 downto 00);
81
   begin
82
      while not (endfile(start_ptr) and endfile(rxin_ptr)) loop
83
 
84
      readline(start_ptr, start_ln);
85
 
86
      if (not(endfile(rxin_ptr))) then
87
         readline(rxin_ptr, rxin_ln);
88
      else
89
         write(rxin_ln, string'("00000000"));
90
      end if;
91
 
92
      if (start_ln /= NULL) and (start_ln'length > 0) and (rxin_ln /= NULL) and (rxin_ln'length > 0) then
93
 
94
         read(start_ln, start_str);
95
         read(rxin_ln, rxin_str);
96
         rxin_len  := rxin_str'length - 1;
97
 
98
         start_var := to_std_logic (start_str(01));
99
 
100
         for b in rxin_str'range loop
101
            rxin_var(rxin_len)   := to_std_logic (rxin_str(b));
102
            rxin_len             := rxin_len - 1;
103
         end loop;
104
 
105
         start    <= to_bit       (start_var);
106
         rxin     <= to_bitvector (rxin_var);
107
 
108
         clock <= '1';
109
         wait for delay;
110
         clock <= '0';
111
         wait for delay;
112
      end if;
113
      end loop;
114
   wait;
115
   end process;
116
end test_bench;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.