OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [trunk/] [source/] [adder_08bit.vhdl] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 arif_endro
-- $Id: adder_08bit.vhdl,v 1.1.1.1 2005-11-15 01:52:30 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       : 8 bit adder
4
-- Project     : 
5
-------------------------------------------------------------------------------
6
-- File        : adder_08bit.vhdl
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/11/01
9
-- Last update : 
10
-- Simulators  :
11
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : 8 bit signed adder
15
-------------------------------------------------------------------------------
16 14 arif_endro
-- Copyright (C) 2005 Arif Endro Nugroho
17 2 arif_endro
-------------------------------------------------------------------------------
18
-- 
19
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38
 
39
library IEEE;
40
use IEEE.std_logic_1164.all;
41
 
42
entity adder_08bit is
43
   port (
44
      addend_08bit  : in  bit_vector (07 downto 0);
45
      augend_08bit  : in  bit_vector (07 downto 0);
46
      adder08_output: out bit_vector (08 downto 0)
47
      );
48
end adder_08bit;
49
 
50
architecture structural of adder_08bit is
51
 
52
   component fulladder
53
      port (
54
      addend        : in   bit;
55
      augend        : in   bit;
56
      carry_in      : in   bit;
57
      sum           : out  bit;
58
      carry         : out  bit
59
      );
60
   end component;
61
 
62
signal c00 : bit;
63
signal c01 : bit;
64
signal c02 : bit;
65
signal c03 : bit;
66
signal c04 : bit;
67
signal c05 : bit;
68
signal c06 : bit;
69
signal c07 : bit;
70
signal c08 : bit;
71
signal over08 : bit;
72
signal adder08_output_int : bit_vector (08 downto 0);
73
 
74
begin
75
 
76
c00                     <= '0';
77
over08                  <= (addend_08bit (07) xor augend_08bit (07));
78
adder08_output_int (08) <= ((adder08_output_int (07) and over08) or
79
                           (c08 and (not (over08))));
80
adder08_output          <= adder08_output_int;
81
 
82
fa07 : fulladder
83
   port map (
84
      addend     => addend_08bit(07),
85
      augend     => augend_08bit(07),
86
      carry_in   => c07,
87
      sum        => adder08_output_int(07),
88
      carry      => c08
89
      );
90
 
91
fa06 : fulladder
92
   port map (
93
      addend     => addend_08bit(06),
94
      augend     => augend_08bit(06),
95
      carry_in   => c06,
96
      sum        => adder08_output_int(06),
97
      carry      => c07
98
      );
99
 
100
fa05 : fulladder
101
   port map (
102
      addend     => addend_08bit(05),
103
      augend     => augend_08bit(05),
104
      carry_in   => c05,
105
      sum        => adder08_output_int(05),
106
      carry      => c06
107
      );
108
 
109
fa04 : fulladder
110
   port map (
111
      addend     => addend_08bit(04),
112
      augend     => augend_08bit(04),
113
      carry_in   => c04,
114
      sum        => adder08_output_int(04),
115
      carry      => c05
116
      );
117
 
118
fa03 : fulladder
119
   port map (
120
      addend     => addend_08bit(03),
121
      augend     => augend_08bit(03),
122
      carry_in   => c03,
123
      sum        => adder08_output_int(03),
124
      carry      => c04
125
      );
126
 
127
fa02 : fulladder
128
   port map (
129
      addend     => addend_08bit(02),
130
      augend     => augend_08bit(02),
131
      carry_in   => c02,
132
      sum        => adder08_output_int(02),
133
      carry      => c03
134
      );
135
 
136
fa01 : fulladder
137
   port map (
138
      addend     => addend_08bit(01),
139
      augend     => augend_08bit(01),
140
      carry_in   => c01,
141
      sum        => adder08_output_int(01),
142
      carry      => c02
143
      );
144
 
145
fa00 : fulladder
146
   port map (
147
      addend     => addend_08bit(00),
148
      augend     => augend_08bit(00),
149
      carry_in   => c00,
150
      sum        => adder08_output_int(00),
151
      carry      => c01
152
      );
153
 
154
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.