OpenCores
URL https://opencores.org/ocsvn/product_code_iterative_decoder/product_code_iterative_decoder/trunk

Subversion Repositories product_code_iterative_decoder

[/] [product_code_iterative_decoder/] [trunk/] [xilinx/] [input6DB.vhdl] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 arif_endro
-- $Id: input6DB.vhdl,v 1.1 2006-01-16 03:40:22 arif_endro Exp $
2
-------------------------------------------------------------------------------
3
-- Title       :
4
-- Project     : 
5
-------------------------------------------------------------------------------
6
-- File        :
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2005/12/18
9
-- Last update : 
10
-- Simulators  :
11
-- Synthesizers: ISE Xilinx 6.3i
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : 
15
-------------------------------------------------------------------------------
16
-- Copyright (C) 2005 Arif E. Nugroho
17
-- This VHDL design file is an open design; you can redistribute it and/or
18
-- modify it and/or implement it after contacting the author
19
-------------------------------------------------------------------------------
20
-------------------------------------------------------------------------------
21
-- 
22
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
23
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
24
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
25
-- ASSOCIATED DISCLAIMER.
26
-- 
27
-------------------------------------------------------------------------------
28
-- 
29
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
30
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
31
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
32
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
33
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
34
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
35
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
36
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
37
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
38
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
-- 
40
-------------------------------------------------------------------------------
41
 
42
library ieee;
43
use ieee.std_logic_1164.all;
44
use ieee.std_logic_arith.all;
45
use ieee.std_logic_unsigned.all;
46
 
47
entity input is
48
   port (
49
      clock   : in  bit;
50
      clear   : in  bit;
51
      start   : out bit;
52
      rom_pos : out integer;
53
      rxin    : out bit_vector (07 downto 00)
54
      );
55
end input;
56
 
57
architecture test_bench of input is
58
 
59
type rom_bank is array ( 00000 to 19999 ) of bit_vector (7 downto 0);
60
 
61
constant input_bank : rom_bank :=
62
(
63
 
64
 B"00110111", B"00100110", B"11100011", B"00101110", B"00100101",
65
 B"11100111", B"11110011", B"00011110", B"00101100", B"00100001",
66
 B"00010111", B"11010110", B"00110010", B"11100101", B"00000001",
67
 B"11010110", B"11011110", B"11011101", B"00010100", B"11010011",
68
 B"00001001", B"11011110", B"10101000", B"00010011", B"11010110",
69
 B"00011111", B"11011011", B"11101001", B"11101100", B"00110111",
70
 B"00100101", B"11010110", B"11101101", B"00010111", B"00011001",
71
 B"00010010", B"11100110", B"00100010", B"11101001", B"00101101",
72
 B"11111000", B"00101000", B"11010110", B"11100110", B"11010110",
73
 B"00010001", B"00011001", B"00001001", B"11000110", B"11110000",
74
 B"00011110", B"11001101", B"00100011", B"11001011", B"11100101",
75
 B"00001011", B"11010100", B"11111001", B"00101110", B"00001011",
76
 B"00101000", B"00010010", B"11101000", B"11100000", B"00100101",
77
 B"00011010", B"11100100", B"00010010", B"00100101", B"11100001",
78
 B"11010111", B"00100110", B"00100001", B"00010101", B"11101011",
79
 B"11101110", B"00111011", B"00000110", B"11110010", B"11101100",
80
 B"11011111", B"00000101", B"00001110", B"00000100", B"00100110",
81
 B"00011010", B"11110011", B"11111001", B"11000111", B"00111010",
82
 B"11101000", B"00011010", B"11100101", B"11101101", B"00011011",
83
 B"00001101", B"11101101", B"00001011", B"00110000", B"11101111",
84
 B"11001110", B"11011100", B"11011000", B"11000110", B"11100111",
85
 B"11010010", B"11001100", B"11011110", B"00011100", B"00010110",
86
 B"00001110", B"00010000", B"00011111", B"11100011", B"11001010",
87
 B"00010110", B"11100111", B"11010100", B"11101010", B"11111111",
88
 B"00000010", B"00110000", B"11100101", B"00010100", B"00100101",
89
 B"11101000", B"11010010", B"00011100", B"11100101", B"11100000",
90
 B"00101100", B"00011000", B"00110010", B"00001010", B"11011101",
91
 B"11010111", B"11101100", B"00100111", B"00010110", B"00010011",
92
 B"11111001", B"00011000", B"11111000", B"00001000", B"00010101",
93
 B"00001110", B"00100011", B"11101111", B"00010010", B"11011001",
94
 B"00101101", B"11001101", B"11011111", B"00011010", B"11001011",
95
 B"01001011", B"11011100", B"11011000", B"00100000", B"00100011",
96
 B"00010111", B"11011110", B"11100111", B"00011001", B"11010110",
97
 B"11001011", B"11110110", B"11110100", B"11010110", B"00001101",
98
 B"11011100", B"00000001", B"11101111", B"00001010", B"00001010",
99
 B"11011110", B"01000010", B"11010101", B"00110100", B"11010011",
100
 B"11101111", B"11001100", B"00011110", B"00010001", B"00101001",
101
 B"00010100", B"00101111", B"11011000", B"00010111", B"11100011",
102
 B"00110010", B"11110010", B"11101111", B"11100110", B"00111001",
103
 B"00110111", B"00100110", B"00010100", B"11100010", B"11100010",
104
 B"11001010", B"00100100", B"00101000", B"11011000", B"11011010",
105
 B"11011100", B"11110000", B"11101000", B"11010101", B"11110111",
106
 B"00001000", B"00001101", B"00010110", B"01001011", B"11011001",
107
 B"11111011", B"11011000", B"00011110", B"00010101", B"00100010",
108
 B"11010110", B"00010110", B"11000100", B"00001101", B"00110010",
109
 B"11010110", B"11010110", B"00011001", B"11100100", B"11011100",
110
 B"11100011", B"11011001", B"11101110", B"11101101", B"00011000",
111
 B"11011101", B"00110010", B"11011101", B"11011100", B"00010111",
112
 B"00010011", B"00100011", B"00100110", B"00110111", B"00011101",
113
 B"00011011", B"00100010", B"00010001", B"00010100", B"11011001",
114
 B"00011101", B"00110100", B"11010101", B"00110010", B"00110001",
115
 B"11011101", B"00100111", B"00000010", B"00001001", B"00100101",
116
 B"00011001", B"00100010", B"00100010", B"00000001", B"00010111",
117
 B"11000110", B"11010110", B"00010001", B"11101000", B"11010110",
118
 B"11101110", B"11011001", B"11001110", B"11100110", B"00010100",
119
 B"11111001", B"00010010", B"11110111", B"11100010", B"00001101",
120
 B"11111001", B"00011001", B"11111110", B"11100100", B"11010100",
121
 B"00101011", B"00111101", B"11101101", B"00011001", B"00011000",
122
 B"00100000", B"00010000", B"00010001", B"00011101", B"00001100",
123
 B"00001011", B"11000101", B"00011011", B"00110000", B"11011110",
124
 B"11010000", B"11110001", B"11100101", B"11010111", B"11010001",
125
 B"00010011", B"00101100", B"11100100", B"11100000", B"11010100",
126
 B"11100000", B"11111001", B"11011011", B"00101100", B"00011001",
127
 B"00110011", B"11100110", B"00010001", B"11010011", B"00011011",
128
 B"00101001", B"11101001", B"11010001", B"00100111", B"11011011",
129
 B"11111101", B"11100100", B"11110001", B"11110111", B"11011101",
130
 B"00100100", B"00010101", B"00100111", B"00001010", B"11011011",
131
 B"11010111", B"00011111", B"11010101", B"11101001", B"00100100",
132
 B"11110110", B"11110000", B"11001011", B"11010011", B"11110000",
133
 B"11010010", B"00011111", B"11111010", B"00011101", B"11100101",
134
 B"11110001", B"00100110", B"11110111", B"11101001", B"00001000",
135
 B"11010111", B"00001001", B"11110010", B"11010001", B"00010111",
136
 B"11010101", B"00001100", B"11100111", B"11001110", B"11010100",
137
 B"00101000", B"00011001", B"11110000", B"00010000", B"11011101",
138
 B"00100010", B"11011110", B"11011011", B"11101010", B"00110000",
139
 B"00011101", B"11100001", B"11011110", B"00100100", B"11010000",
140
 B"00100011", B"11011010", B"11101110", B"00101011", B"11100011",
141
 B"11011111", B"11010101", B"11011010", B"00010110", B"00101011",
142
 B"00101010", B"00011101", B"00011000", B"11110011", B"11101000",
143
 B"00111111", B"11110010", B"11100010", B"11100000", B"11110111",
144
 B"11100101", B"00010100", B"00011011", B"00100110", B"11010010",
145
 B"00110010", B"11101010", B"00011101", B"00110001", B"00110001",
146
 B"11010101", B"00101001", B"00100111", B"11101111", B"11001001",
147
 B"11110100", B"00000010", B"11011000", B"11111100", B"11110110",
148
 B"00101010", B"00010101", B"00100110", B"00110000", B"00110101",
149
 B"11100010", B"11001001", B"00101101", B"11101111", B"11100111",
150
 B"11000011", B"11001101", B"00011100", B"00010110", B"00100000",
151
 B"00010000", B"00101011", B"00100000", B"00111001", B"00100110",
152
 B"11101111", B"11101110", B"11011011", B"00011100", B"00100111",
153
 B"11110111", B"00100010", B"11010001", B"00010010", B"00110000",
154
 B"00110100", B"11111000", B"00011000", B"11101111", B"00100000",
155
 B"11111001", B"00101111", B"11010001", B"00111001", B"11001100",
156
 B"11010010", B"11011100", B"00011000", B"00101010", B"00110110",
157
 B"11010100", B"11010010", B"11011001", B"11001111", B"00100011",
158
 B"11111000", B"00110011", B"11011010", B"10111100", B"11011111",
159
 B"11011110", B"00011110", B"00011111", B"00011010", B"00101100",
160
 B"00100010", B"00000010", B"00010101", B"00011000", B"11101100",
161
 B"00100111", B"00011110", B"11010011", B"11000111", B"00010000",
162
 B"11011001", B"00101001", B"11010101", B"11000010", B"00011110",
163
 B"00110010", B"11101100", B"00111010", B"11101011", B"11010100",
164
 B"10111101", B"00001010", B"00010010", B"11011100", B"00101111",
165
 B"11101101", B"11010000", B"11101011", B"11011010", B"00111110",
166
 B"11100001", B"00110000", B"00011000", B"00101100", B"11011000",
167
 B"11100000", B"00100011", B"00101110", B"11000110", B"11100110",
168
 B"11100100", B"11110100", B"00100010", B"11100000", B"00101100",
169
 B"11011110", B"11001000", B"00100010", B"11010110", B"00101111",
170
 B"00111010", B"00110001", B"11010110", B"00100000", B"11010010",
171
 B"00010100", B"11101100", B"00010101", B"00100101", B"00100111",
172
 B"11100110", B"00100100", B"11110011", B"00011111", B"00111001",
173
 B"00001101", B"00010100", B"10111111", B"00011010", B"11110011",
174
 B"00001111", B"11010010", B"11101001", B"01000001", B"00111111",
175
 B"11111101", B"11100110", B"00110100", B"11001111", B"00011010",
176
 B"11100110", B"11001001", B"11001100", B"00101000", B"01000010",
177
 B"11001000", B"00101001", B"11010010", B"00011100", B"11010111",
178
 B"11100001", B"00111000", B"11001000", B"11100101", B"11000101",
179
 B"11010011", B"11010010", B"11010010", B"11010010", B"11100001",
180
 B"01000011", B"00001001", B"00101101", B"00010011", B"11110011",
181
 B"11010100", B"00101001", B"11011011", B"00011000", B"11111000",
182
 B"11010010", B"00011110", B"00110101", B"00001111", B"00100101",
183
 B"00011101", B"00100011", B"11111111", B"00011010", B"00100001",
184
 B"00110111", B"00110100", B"00011011", B"00001001", B"00100100",
185
 B"00101101", B"00101011", B"00100101", B"11100110", B"11010110",
186
 B"00000100", B"11010000", B"00101100", B"00100001", B"00101101",
187
 B"00110000", B"11100110", B"00010111", B"11100000", B"11101101",
188
 B"11010010", B"11101001", B"00101010", B"00100111", B"11011000",
189
 B"00010011", B"00011011", B"11000111", B"11010100", B"11011101",
190
 B"11101011", B"11000001", B"01000111", B"00100101", B"00001011",
191
 B"11100110", B"00100110", B"00100111", B"11011110", B"00010000",
192
 B"11110100", B"11011100", B"11100111", B"00100101", B"00100010",
193
 B"00000111", B"00000101", B"11101001", B"00101111", B"00011101",
194
 B"11101100", B"00001101", B"00100100", B"11011000", B"11100110",
195
 B"00110111", B"00001110", B"00001011", B"11000111", B"00001010",
196
 B"00010110", B"11001000", B"11011011", B"00101101", B"00100101",
197
 B"00010111", B"11010101", B"11101111", B"00100000", B"00101000",
198
 B"11011010", B"11011110", B"00000101", B"00010010", B"00100011",
199
 B"00010101", B"11001000", B"00100010", B"11110011", B"00011001",
200
 B"11011100", B"11100000", B"00101010", B"11100010", B"00100001",
201
 B"11000111", B"11101010", B"00110011", B"11100001", B"11000101",
202
 B"00010110", B"11111100", B"00010110", B"11100110", B"11101000",
203
 B"00101111", B"00100100", B"00101011", B"00010100", B"00100010",
204
 B"00101011", B"00110100", B"00011100", B"00110110", B"00011011",
205
 B"00001110", B"00011010", B"00001011", B"00100001", B"00010100",
206
 B"00101100", B"00011011", B"11010011", B"00101001", B"00010101",
207
 B"11100111", B"11101001", B"11100111", B"11110101", B"11101111",
208
 B"11001001", B"00100110", B"11010100", B"00001010", B"11101000",
209
 B"00001111", B"00100000", B"00101011", B"11110100", B"00100011",
210
 B"11100100", B"00101101", B"11110010", B"11011100", B"00100010",
211
 B"00101000", B"00100111", B"00100100", B"00110010", B"00100011",
212
 B"00010111", B"00110000", B"00011001", B"00100110", B"00101000",
213
 B"11101110", B"11001111", B"11100010", B"11011001", B"00100111",
214
 B"11010000", B"00101011", B"00011001", B"00110001", B"00011000",
215
 B"11001101", B"00100100", B"11011111", B"00010111", B"11011111",
216
 B"11010111", B"11011111", B"11011010", B"11101010", B"00110000",
217
 B"00101110", B"00101101", B"00010000", B"11100100", B"00001000",
218
 B"11000111", B"00110001", B"11000101", B"11010011", B"01000110",
219
 B"00101110", B"00110101", B"10110100", B"11100100", B"00000111",
220
 B"11110000", B"11100001", B"11100101", B"11011100", B"00011101",
221
 B"11101000", B"00000001", B"00111001", B"11111111", B"11111100",
222
 B"00100101", B"11111111", B"00100010", B"00001001", B"00011001",
223
 B"00101000", B"00011000", B"00011010", B"11111110", B"00001110",
224
 B"11101101", B"00010110", B"11011101", B"11110000", B"11001000",
225
 B"00100100", B"00011000", B"11001010", B"11101011", B"11110000",
226
 B"00011100", B"00111110", B"00110000", B"00001001", B"11010010",
227
 B"11001100", B"00011010", B"00101010", B"00010011", B"00011111",
228
 B"00111000", B"00011011", B"00011011", B"00101011", B"00010001",
229
 B"00010101", B"11010010", B"11010011", B"00110100", B"00001101",
230
 B"11100001", B"11101011", B"11110010", B"11100100", B"10110110",
231
 B"11100100", B"00011010", B"00010011", B"00011011", B"00100100",
232
 B"00000100", B"00111100", B"00010001", B"11100100", B"00001001",
233
 B"11100001", B"00011110", B"11100000", B"00101100", B"00101001",
234
 B"00001111", B"00101000", B"00001001", B"00011001", B"00010000",
235
 B"00010111", B"00011111", B"00011011", B"00101101", B"00010110",
236
 B"00001010", B"00001100", B"00101010", B"00100011", B"11100011",
237
 B"00001111", B"11111110", B"10111110", B"11110010", B"00101111",
238
 B"00101001", B"11111110", B"00100000", B"11110010", B"00100001",
239
 B"00100100", B"11110000", B"00011010", B"00100101", B"11011110",
240
 B"11011011", B"11101101", B"00100000", B"11001000", B"00010111",
241
 B"11101110", B"11011001", B"11110111", B"00110011", B"00010001",
242
 B"11010100", B"10110011", B"00100010", B"11111110", B"11101011",
243
 B"11010001", B"11111101", B"00001000", B"00101001", B"11010010",
244
 B"00110110", B"11010101", B"00000101", B"11001101", B"11010110",
245
 B"00101101", B"01000001", B"00101110", B"11101011", B"00100111",
246
 B"11001001", B"00100001", B"00011101", B"11010011", B"00110001",
247
 B"11100100", B"11101001", B"11101111", B"00010101", B"00100110",
248
 B"00011011", B"00100000", B"00100011", B"00101111", B"00100001",
249
 B"00011110", B"00110111", B"00010000", B"00111011", B"11110101",
250
 B"00011010", B"00100111", B"11010101", B"00011110", B"00000101",
251
 B"11000111", B"11011101", B"11001101", B"11010100", B"11011111",
252
 B"00011101", B"00011100", B"00101010", B"00101010", B"00100111",
253
 B"11101110", B"00010110", B"00100101", B"11100100", B"00011111",
254
 B"00101110", B"11100110", B"00100100", B"00101111", B"11101101",
255
 B"00110100", B"00100101", B"11100110", B"11011000", B"00011010",
256
 B"00101100", B"11110010", B"00101100", B"11010001", B"11110000",
257
 B"11011100", B"00100011", B"00001100", B"00100001", B"00010111",
258
 B"01000010", B"00100010", B"00100000", B"00011001", B"11110011",
259
 B"00100011", B"00101010", B"00011010", B"00101001", B"11110111",
260
 B"00100000", B"11101000", B"00011001", B"11110101", B"01000111",
261
 B"11100001", B"00100001", B"00110010", B"11011000", B"00001011",
262
 B"00010110", B"11100011", B"11011001", B"00010110", B"00000101",
263
 B"00101000", B"11100110", B"00100001", B"11110010", B"00011110",
264
 B"01001011", B"00000110", B"00000000", B"00001000", B"00011111",
265
 B"00100010", B"00011000", B"00100000", B"11111001", B"11100000",
266
 B"00101111", B"11011111", B"00010010", B"11100100", B"11010000",
267
 B"00010111", B"11101000", B"11100110", B"00110011", B"00100111",
268
 B"00101011", B"00100101", B"11010010", B"11100101", B"10111001",
269
 B"11011100", B"00000011", B"00101111", B"00101001", B"11110001",
270
 B"00010011", B"11101010", B"11101110", B"11110100", B"00110000",
271
 B"00011100", B"00000000", B"00100101", B"11100001", B"11110111",
272
 B"11011101", B"00100000", B"00101100", B"11100010", B"11011101",
273
 B"11100001", B"11111011", B"11010111", B"00011100", B"00010011",
274
 B"11100001", B"00100001", B"00001010", B"11010000", B"11111111",
275
 B"00100110", B"00001101", B"11110001", B"11111110", B"11101111",
276
 B"11100101", B"11011001", B"00100001", B"00100010", B"00101100",
277
 B"00011010", B"00011001", B"11011010", B"00001101", B"11101001",
278
 B"00011100", B"11101001", B"00100011", B"11110011", B"00001101",
279
 B"11011011", B"11100111", B"11010011", B"00010101", B"00011100",
280
 B"11001011", B"00001100", B"00010111", B"11001100", B"11101110",
281
 B"11010111", B"11101101", B"10111110", B"00100000", B"00101111",
282
 B"11011000", B"11100001", B"00100100", B"00000110", B"11101001",
283
 B"11010000", B"11011000", B"00011101", B"11011010", B"00110011",
284
 B"11100001", B"11110100", B"00011000", B"00001111", B"00011101",
285
 B"11010010", B"11101000", B"11001110", B"11001111", B"00101011",
286
 B"11100000", B"00001001", B"11110010", B"11100110", B"00011010",
287
 B"11101111", B"00110110", B"10110100", B"10111101", B"00100010",
288
 B"00101101", B"00010110", B"10110011", B"00010010", B"00101000",
289
 B"11001011", B"11110000", B"00010111", B"11001011", B"11110000",
290
 B"11011111", B"11010101", B"11111110", B"01000100", B"00010110",
291
 B"00100100", B"11010011", B"00100000", B"11101101", B"00010000",
292
 B"11001011", B"11100011", B"00011000", B"00010001", B"00010010",
293
 B"00011011", B"11110001", B"11101000", B"01000110", B"00010011",
294
 B"11000100", B"11100001", B"00100000", B"00011011", B"11100001",
295
 B"11100001", B"00110100", B"00010110", B"11011111", B"11001100",
296
 B"00100000", B"00100001", B"11010100", B"00000110", B"00100010",
297
 B"11110010", B"11100111", B"00001100", B"00100100", B"11001100",
298
 B"11100111", B"11111011", B"11001100", B"00101000", B"11110110",
299
 B"00010001", B"00011011", B"00000100", B"11101111", B"00110000",
300
 B"00001011", B"11100010", B"11100011", B"00101100", B"00011010",
301
 B"00011100", B"00000101", B"00010010", B"00010111", B"00100001",
302
 B"00110000", B"00010100", B"00101001", B"00101011", B"00001010",
303
 B"11011111", B"00100000", B"11110011", B"00100010", B"11001001",
304
 B"00000001", B"11101111", B"11100111", B"00001110", B"11100011",
305
 B"11011001", B"11011111", B"11011010", B"11100100", B"11011001",
306
 B"00101110", B"00011100", B"00101010", B"00001000", B"11101100",
307
 B"00001010", B"00000110", B"00010000", B"00010011", B"11010100",
308
 B"00100100", B"11101000", B"00100110", B"11011100", B"00011101",
309
 B"00000110", B"11101010", B"00100100", B"11100000", B"11101111",
310
 B"11010001", B"11000101", B"11100011", B"11110001", B"11110101",
311
 B"00011101", B"00101000", B"11100100", B"00001100", B"11000111",
312
 B"00011010", B"11011110", B"11100101", B"11111100", B"11010010",
313
 B"11111000", B"11101101", B"11000101", B"11100010", B"11001011",
314
 B"00101011", B"11100011", B"00101010", B"11101011", B"11011000",
315
 B"00001111", B"00101010", B"00011100", B"00000110", B"11011011",
316
 B"00011101", B"11101101", B"00000001", B"11001111", B"11101001",
317
 B"11011001", B"00100110", B"00000000", B"00011010", B"11010111",
318
 B"11001010", B"00101010", B"00101001", B"00100001", B"00101111",
319
 B"11011011", B"00001001", B"11010100", B"00011101", B"11110000",
320
 B"00110010", B"01000001", B"00001101", B"11101011", B"01001011",
321
 B"00110110", B"10110000", B"11101100", B"11011111", B"00101000",
322
 B"00110000", B"00010001", B"11001100", B"00111110", B"11010111",
323
 B"00000101", B"11011100", B"00011001", B"00001111", B"11011111",
324
 B"11011111", B"11010010", B"11000010", B"11001010", B"11100100",
325
 B"00110001", B"11001100", B"00010001", B"11101100", B"11011110",
326
 B"00101110", B"00010000", B"00100010", B"11011100", B"11100010",
327
 B"01000011", B"11101011", B"11011000", B"11010111", B"11001101",
328
 B"11101110", B"11010110", B"00001011", B"11101010", B"00111111",
329
 B"11101000", B"11001101", B"00000001", B"00110001", B"11011010",
330
 B"11010110", B"00000111", B"11010011", B"11011011", B"11110101",
331
 B"11110000", B"11011100", B"00010000", B"11000110", B"00110010",
332
 B"11011100", B"11001011", B"11110111", B"00011011", B"00110011",
333
 B"00100101", B"00000000", B"11011111", B"01000100", B"00100110",
334
 B"11011000", B"11011111", B"00010101", B"00011111", B"11111101",
335
 B"00011110", B"00010100", B"11101100", B"11011110", B"00011001",
336
 B"11111101", B"11101011", B"11111000", B"00100011", B"00101010",
337
 B"11011100", B"00010110", B"11101001", B"11010111", B"11110101",
338
 B"00110000", B"11001010", B"00010000", B"11110010", B"11110100",
339
 B"00101001", B"11101110", B"00011101", B"11101011", B"11011010",
340
 B"11011110", B"00010110", B"00100001", B"11011110", B"00111110",
341
 B"00110010", B"11100010", B"00100001", B"01000100", B"11100010",
342
 B"11100111", B"00110000", B"11100110", B"11101100", B"11100010",
343
 B"00110010", B"00100010", B"11100001", B"00110000", B"11100001",
344
 B"11101000", B"11110010", B"00110011", B"00100101", B"11010011",
345
 B"00010011", B"11011100", B"00100001", B"00011100", B"00100011",
346
 B"11011111", B"00010010", B"01001100", B"11100011", B"11100010",
347
 B"00101010", B"11011110", B"11100010", B"11111000", B"11101100",
348
 B"00111000", B"00101111", B"00011001", B"11111111", B"00110101",
349
 B"00010110", B"11011100", B"00101001", B"00011110", B"11011010",
350
 B"11011000", B"00011111", B"00100001", B"11010001", B"11000111",
351
 B"00110010", B"11000011", B"11110100", B"11011011", B"11100011",
352
 B"11010011", B"11011111", B"00000011", B"00101101", B"11111100",
353
 B"11100011", B"00110000", B"11100101", B"11100110", B"11100001",
354
 B"00010001", B"00010110", B"01000110", B"00010101", B"11100110",
355
 B"10110101", B"00101110", B"00010111", B"00100110", B"00001001",
356
 B"00001010", B"00001111", B"11111110", B"00001100", B"00101110",
357
 B"00001010", B"11111001", B"00101111", B"00010110", B"00101000",
358
 B"00010110", B"11111111", B"11111011", B"00010111", B"00011111",
359
 B"00110110", B"00010110", B"00011011", B"00100111", B"00101111",
360
 B"00110100", B"11101101", B"11010011", B"00001100", B"10110110",
361
 B"11001100", B"11101101", B"11101010", B"11110110", B"11101111",
362
 B"11001100", B"00000101", B"00010101", B"11101011", B"00011001",
363
 B"11101010", B"00100001", B"11011011", B"00101111", B"11010110",
364
 B"11100011", B"11100010", B"00001101", B"00111001", B"11110001",
365
 B"00011000", B"11011101", B"00010010", B"11100100", B"11110101",
366
 B"00011000", B"00101001", B"11110101", B"00011001", B"00011000",
367
 B"00101100", B"11010111", B"00100101", B"11000010", B"00011011",
368
 B"00010110", B"11011100", B"00110011", B"00010010", B"11101001",
369
 B"00110110", B"00010010", B"11101100", B"00111110", B"00010011",
370
 B"00010000", B"11110110", B"00010011", B"11100111", B"00110010",
371
 B"11000101", B"00100100", B"00001000", B"00101000", B"11011010",
372
 B"11100001", B"11100000", B"00100110", B"00011001", B"00001100",
373
 B"11010011", B"11010100", B"00010101", B"11100111", B"11111110",
374
 B"11101100", B"11011111", B"11011100", B"11010110", B"00101101",
375
 B"11110110", B"00001111", B"11001110", B"11000000", B"00100110",
376
 B"11110100", B"00100111", B"11100100", B"11011001", B"11101111",
377
 B"00011111", B"00100100", B"11100110", B"11011011", B"00100010",
378
 B"00110001", B"00100011", B"11011101", B"00110111", B"11001101",
379
 B"00101100", B"11101000", B"11100110", B"11011011", B"11110011",
380
 B"00100000", B"00010101", B"00100110", B"00101011", B"11011111",
381
 B"11101000", B"11010001", B"11111011", B"00100111", B"00101000",
382
 B"00101001", B"01000101", B"11110010", B"11010001", B"00011110",
383
 B"11001111", B"00010000", B"11010100", B"11100111", B"00001100",
384
 B"00101000", B"00001110", B"00011010", B"11101100", B"00100101",
385
 B"11011100", B"00100001", B"11100011", B"11011101", B"00101010",
386
 B"11001110", B"11101001", B"11011011", B"00001110", B"00000111",
387
 B"11100010", B"11011101", B"00111001", B"00011000", B"00010110",
388
 B"11010111", B"00101011", B"11000100", B"00110111", B"00111010",
389
 B"00100011", B"11010011", B"11001100", B"01010100", B"00100111",
390
 B"11010101", B"11110101", B"00101101", B"00000010", B"11100110",
391
 B"00111111", B"00011111", B"11111011", B"11011011", B"00100111",
392
 B"00110000", B"11101101", B"00011000", B"00010011", B"11011000",
393
 B"00100111", B"00010100", B"11100101", B"00011000", B"00101010",
394
 B"11001010", B"00001011", B"00100100", B"11000000", B"11001101",
395
 B"00100010", B"00101001", B"00101110", B"00000100", B"00111111",
396
 B"00100110", B"11101100", B"11010101", B"00011110", B"00101001",
397
 B"01001101", B"11110000", B"00111001", B"00100001", B"11110000",
398
 B"11001111", B"00011010", B"00100000", B"11110011", B"00111010",
399
 B"11001111", B"11001101", B"11011000", B"00011100", B"00110011",
400
 B"00011100", B"11011001", B"11011100", B"10111110", B"11110110",
401
 B"00010011", B"11110011", B"00110011", B"11100000", B"11100111",
402
 B"00001010", B"11101100", B"00001100", B"11011011", B"11101000",
403
 B"00010111", B"11011111", B"11111011", B"00001001", B"00110011",
404
 B"11111001", B"00010110", B"11101001", B"00000110", B"11000110",
405
 B"11000110", B"00101011", B"11001100", B"00101011", B"11001101",
406
 B"11001101", B"00010010", B"11011110", B"00010100", B"00011111",
407
 B"11001101", B"11101111", B"11101011", B"11010101", B"11001001",
408
 B"11011100", B"00001111", B"00101101", B"11100000", B"11111011",
409
 B"11001010", B"11110100", B"11101101", B"00011010", B"11001100",
410
 B"00100111", B"11011000", B"11101101", B"11100110", B"00100001",
411
 B"00010011", B"00011010", B"00010100", B"11110010", B"11010001",
412
 B"00010000", B"00011010", B"11110011", B"11101101", B"00101001",
413
 B"11101111", B"00000110", B"00001111", B"11100101", B"00101001",
414
 B"00100010", B"11011101", B"00011100", B"00011110", B"00010011",
415
 B"00010101", B"00011111", B"00101101", B"00011000", B"00100011",
416
 B"11000110", B"11010101", B"00011110", B"00010111", B"00100001",
417
 B"00010101", B"11011000", B"11011100", B"11011111", B"11110010",
418
 B"00101001", B"00100001", B"00101101", B"00100100", B"11010110",
419
 B"11101011", B"11001010", B"11111101", B"00010111", B"00011001",
420
 B"11011111", B"00100101", B"11011111", B"00100000", B"11011101",
421
 B"00101000", B"00100000", B"11101010", B"11100011", B"11100001",
422
 B"11101111", B"11011111", B"00011110", B"00001011", B"00001111",
423
 B"00001000", B"00101001", B"00010100", B"00001000", B"00110001",
424
 B"11100110", B"11011111", B"11110110", B"00001010", B"00101111",
425
 B"11011010", B"00000010", B"11101001", B"00001001", B"11100101",
426
 B"11010101", B"00001000", B"11101101", B"11010111", B"11100010",
427
 B"11100101", B"11011110", B"11011101", B"00010110", B"11001010",
428
 B"00011001", B"11110101", B"11011110", B"00111011", B"00101100",
429
 B"00100001", B"11101001", B"00011011", B"00011111", B"11011100",
430
 B"11011000", B"00100111", B"00011100", B"00111110", B"01000001",
431
 B"00011100", B"00011101", B"00010011", B"00111110", B"00100110",
432
 B"11110010", B"11101110", B"11101000", B"10110111", B"00101101",
433
 B"00110010", B"00110010", B"00101101", B"11001001", B"00000110",
434
 B"11100101", B"00110101", B"11011010", B"10111101", B"00011011",
435
 B"00100010", B"11010011", B"00110010", B"11101010", B"00101000",
436
 B"11011110", B"11101100", B"11111110", B"00111010", B"00111011",
437
 B"11101001", B"11111010", B"00100110", B"11001010", B"01000001",
438
 B"00101010", B"11100001", B"11100010", B"11001011", B"00100000",
439
 B"11011010", B"00010101", B"11100010", B"11100011", B"00101110",
440
 B"00001111", B"00011111", B"11101110", B"00101101", B"00100010",
441
 B"11110010", B"11001110", B"00100110", B"11110000", B"00000000",
442
 B"00110111", B"11010111", B"11110010", B"11011110", B"11100110",
443
 B"11011000", B"11000100", B"00110110", B"00001001", B"11011011",
444
 B"11110110", B"11101011", B"11001110", B"11101111", B"11100100",
445
 B"00001101", B"11011100", B"11100100", B"11011111", B"00101011",
446
 B"00101000", B"11100001", B"11011001", B"00011001", B"00110011",
447
 B"00010010", B"11101110", B"00010001", B"11000101", B"11111101",
448
 B"00001100", B"00001111", B"00101011", B"00010111", B"00011011",
449
 B"11110111", B"00110010", B"00011010", B"11100010", B"00100111",
450
 B"00011110", B"11111000", B"11010111", B"11001111", B"11110100",
451
 B"11010110", B"11000110", B"11100100", B"11110001", B"00101100",
452
 B"00001010", B"11111110", B"00100100", B"11101100", B"00010011",
453
 B"00110100", B"00110001", B"00101010", B"00100010", B"00001001",
454
 B"00100001", B"00001110", B"00100111", B"11111010", B"11010011",
455
 B"00100010", B"11001110", B"11011000", B"11011110", B"11101110",
456
 B"11111001", B"00100001", B"00001001", B"00011110", B"11100100",
457
 B"00100010", B"00010000", B"00110111", B"00101010", B"11011001",
458
 B"00001111", B"11000011", B"11110101", B"11001101", B"00101001",
459
 B"00000111", B"11110110", B"00100000", B"11011000", B"00010100",
460
 B"01000110", B"11001011", B"11011001", B"00001110", B"11011011",
461
 B"11100010", B"00101001", B"00100011", B"00100010", B"00101000",
462
 B"11011010", B"11101101", B"01000110", B"11011100", B"00100101",
463
 B"00010110", B"11001000", B"00100010", B"00101110", B"11001111",
464
 B"11100110", B"00101010", B"00001100", B"00110101", B"11101101",
465
 B"00001011", B"11010110", B"00011001", B"00011111", B"11011000",
466
 B"11001000", B"00010110", B"11100100", B"11011110", B"11111011",
467
 B"11100101", B"00011000", B"11011100", B"00011001", B"00011111",
468
 B"11101100", B"00111000", B"00011100", B"11011011", B"11010100",
469
 B"11100001", B"11110101", B"11110001", B"00100101", B"00011010",
470
 B"00011000", B"00100100", B"11111000", B"00100010", B"11001000",
471
 B"11011001", B"00110001", B"00100111", B"11010010", B"11100010",
472
 B"00100111", B"11101111", B"00010010", B"11101100", B"11100001",
473
 B"11001010", B"00000001", B"00011101", B"00011010", B"00100010",
474
 B"00110100", B"00010110", B"00011000", B"00100000", B"00110110",
475
 B"00101001", B"11010110", B"11011100", B"00011101", B"01000100",
476
 B"00101100", B"00011110", B"11010101", B"11011100", B"00110011",
477
 B"11001010", B"11101011", B"00100011", B"11111101", B"11011110",
478
 B"11010100", B"11111000", B"11011111", B"11101110", B"11010110",
479
 B"00010110", B"00011100", B"11010111", B"00010011", B"11101010",
480
 B"11101001", B"11100011", B"11010101", B"11100001", B"00010000",
481
 B"00100100", B"00100111", B"00010111", B"11000101", B"00111100",
482
 B"00011101", B"00011010", B"00000000", B"00100011", B"11101101",
483
 B"00011010", B"11100011", B"00010011", B"00100010", B"00011100",
484
 B"11011011", B"00010001", B"11001010", B"00010010", B"00010110",
485
 B"11100000", B"11010111", B"00010000", B"11011001", B"11011101",
486
 B"11011111", B"11011100", B"11010101", B"00100101", B"00011011",
487
 B"00011011", B"11101111", B"00011101", B"11100101", B"00101000",
488
 B"11100011", B"00010101", B"00000100", B"00101110", B"11010111",
489
 B"00001100", B"11100011", B"00111110", B"11100000", B"11011010",
490
 B"00110001", B"00101001", B"00011011", B"00100001", B"11010111",
491
 B"11111101", B"11100001", B"00100001", B"00001000", B"00011100",
492
 B"11100101", B"00011010", B"11000001", B"00010101", B"11000100",
493
 B"11110100", B"00100111", B"00001110", B"00100001", B"00000101",
494
 B"00000011", B"11100011", B"00110100", B"00011100", B"11110000",
495
 B"11100010", B"00011101", B"00101101", B"11010101", B"11011111",
496
 B"00011000", B"11001101", B"11100111", B"00011111", B"11101100",
497
 B"11100111", B"11011011", B"11101110", B"11010100", B"00001000",
498
 B"00011100", B"11110011", B"11010100", B"11100111", B"11101100",
499
 B"11010100", B"00101110", B"11101100", B"00011100", B"11100010",
500
 B"11010110", B"11110001", B"00011011", B"00100010", B"01001000",
501
 B"00100111", B"11101111", B"11100011", B"00110001", B"00101110",
502
 B"11011010", B"11101000", B"00111110", B"11011011", B"11101011",
503
 B"11111010", B"11000110", B"01000011", B"11101100", B"00111010",
504
 B"00011011", B"11101011", B"00010010", B"00001000", B"11011101",
505
 B"00100100", B"00010101", B"11001000", B"00010000", B"00011101",
506
 B"11010000", B"00011010", B"00001011", B"11010111", B"11100101",
507
 B"00100101", B"11100010", B"00011011", B"00000101", B"11100100",
508
 B"11110000", B"00011001", B"00011001", B"11100111", B"11010110",
509
 B"00010010", B"00111001", B"11111001", B"11010101", B"11001100",
510
 B"11101001", B"11111001", B"00101000", B"11011010", B"11000001",
511
 B"11011001", B"11101100", B"01000100", B"11010010", B"00001111",
512
 B"11010010", B"11100000", B"11011110", B"00100101", B"00100100",
513
 B"11101011", B"00101100", B"11010011", B"00111100", B"00111011",
514
 B"00110001", B"11101101", B"00100100", B"11100001", B"00010100",
515
 B"11010000", B"11101100", B"00001100", B"00011000", B"11010100",
516
 B"11011010", B"11100010", B"11111110", B"11000111", B"00010110",
517
 B"11101011", B"11100110", B"00011000", B"11101001", B"11110011",
518
 B"11110001", B"11101011", B"00000010", B"00110001", B"00101000",
519
 B"11111100", B"11110110", B"11110011", B"11100100", B"10111100",
520
 B"11110111", B"11001100", B"11010011", B"11010111", B"11111010",
521
 B"00011000", B"11110100", B"00100110", B"11001111", B"11110010",
522
 B"11011101", B"11010001", B"00100101", B"00101010", B"00011111",
523
 B"00101110", B"00001001", B"00011010", B"11110110", B"00110110",
524
 B"00001001", B"11011111", B"11010110", B"00011101", B"11011011",
525
 B"00100110", B"11111010", B"00001111", B"11110101", B"11111111",
526
 B"00100101", B"00011111", B"00010101", B"00011011", B"11011000",
527
 B"11101010", B"00001000", B"00101011", B"11100010", B"11101010",
528
 B"00110100", B"11010111", B"00101001", B"11100000", B"11010011",
529
 B"11011110", B"00110001", B"00010000", B"11011000", B"00110111",
530
 B"11011101", B"00101001", B"11101101", B"11110010", B"00110010",
531
 B"00101111", B"00100110", B"00010100", B"00101111", B"00100000",
532
 B"00011010", B"11101111", B"11111111", B"00100101", B"00011010",
533
 B"00010011", B"00111100", B"00000001", B"00100000", B"00101110",
534
 B"00100100", B"00100011", B"00110001", B"00101101", B"00100101",
535
 B"11100011", B"00010011", B"11010000", B"11111010", B"11010001",
536
 B"00111100", B"11011000", B"11101110", B"00011101", B"11100101",
537
 B"11110111", B"11001100", B"11011111", B"11010111", B"10111100",
538
 B"11001100", B"11010100", B"00000010", B"00001101", B"00010110",
539
 B"00100111", B"00010110", B"00110010", B"11100111", B"00011001",
540
 B"11111111", B"11101010", B"11000000", B"00111001", B"00100000",
541
 B"11011011", B"00110010", B"00011100", B"11010011", B"00100011",
542
 B"00011011", B"11011110", B"11010111", B"11100111", B"00101110",
543
 B"00101001", B"00010111", B"00101001", B"11010010", B"00001011",
544
 B"00010110", B"11010010", B"00101010", B"00101010", B"11011001",
545
 B"00011001", B"00100000", B"11010100", B"00010101", B"00011110",
546
 B"00110111", B"00000100", B"00100001", B"11100001", B"00010100",
547
 B"11101000", B"00101011", B"00100100", B"00101001", B"00010111",
548
 B"00011110", B"00110001", B"00111100", B"00011111", B"11010110",
549
 B"00001100", B"11101011", B"00010000", B"11100011", B"11011011",
550
 B"00010110", B"00010111", B"11101001", B"00100101", B"00100010",
551
 B"11001100", B"11011101", B"11111000", B"11010011", B"11011000",
552
 B"11100001", B"00011001", B"11011110", B"11010110", B"11010111",
553
 B"00111101", B"00010100", B"11100000", B"00001101", B"11011111",
554
 B"00010110", B"11101011", B"11011100", B"10111100", B"00001011",
555
 B"00100100", B"11110011", B"11101101", B"00011001", B"11110010",
556
 B"00100011", B"11101101", B"11011110", B"00100010", B"11011000",
557
 B"11000111", B"00011001", B"00100010", B"00100001", B"00100000",
558
 B"11011000", B"11010000", B"00000110", B"00010001", B"11101101",
559
 B"00101101", B"11101111", B"11101001", B"00010001", B"00011101",
560
 B"00100011", B"00101011", B"11010000", B"00011010", B"11111101",
561
 B"11100110", B"11010011", B"00100000", B"11101100", B"11101110",
562
 B"00011001", B"11011100", B"00101010", B"11100101", B"11010110",
563
 B"00011001", B"11110111", B"11001110", B"11010101", B"11100111",
564
 B"00100011", B"00000000", B"00101110", B"00010011", B"11100011",
565
 B"00011111", B"00011001", B"00010110", B"11101000", B"00001011",
566
 B"11110010", B"00010110", B"00010011", B"11011111", B"00001011",
567
 B"11100011", B"11010111", B"01001010", B"11010000", B"00010010",
568
 B"00011111", B"11100111", B"00110111", B"11111001", B"11100101",
569
 B"11000100", B"00101100", B"00101100", B"00100000", B"00010111",
570
 B"11101100", B"00000011", B"00000111", B"11100110", B"11101011",
571
 B"00110100", B"11011011", B"11011100", B"00001100", B"11010100",
572
 B"00111010", B"11100001", B"11011011", B"00010100", B"00000111",
573
 B"11011000", B"11110011", B"11101001", B"11101100", B"00001000",
574
 B"11110101", B"00100110", B"11101100", B"11011000", B"11010011",
575
 B"00001000", B"00101000", B"11110001", B"00100110", B"11101100",
576
 B"11100011", B"00101001", B"11101010", B"11100011", B"11100101",
577
 B"00100010", B"01001010", B"11001100", B"00101000", B"11100111",
578
 B"10111110", B"00001111", B"00000001", B"11100100", B"11111010",
579
 B"11001010", B"00000100", B"11101000", B"00010101", B"11011010",
580
 B"11100101", B"11010010", B"00100111", B"00101001", B"11011010",
581
 B"00100000", B"11111001", B"00000111", B"11100001", B"11010100",
582
 B"00011110", B"00011011", B"11010011", B"00010001", B"00100110",
583
 B"11100100", B"11011100", B"11100100", B"11101111", B"11011010",
584
 B"00101110", B"00111101", B"00011000", B"11101110", B"00100101",
585
 B"11010101", B"00011110", B"11100000", B"00011111", B"00010100",
586
 B"00011111", B"11001100", B"00100011", B"11011101", B"00010101",
587
 B"11100100", B"11100101", B"00010101", B"00010001", B"00001101",
588
 B"11011100", B"00011111", B"11001010", B"00011111", B"00011101",
589
 B"11001111", B"00111100", B"00010111", B"11100010", B"00010111",
590
 B"00111011", B"11100101", B"11010110", B"00011010", B"11001111",
591
 B"00110111", B"11100001", B"11101101", B"00010110", B"01000000",
592
 B"11011110", B"11100010", B"11100010", B"11011011", B"00001010",
593
 B"00001101", B"00110011", B"00110010", B"11100000", B"11101000",
594
 B"01000110", B"00100010", B"00101011", B"00010110", B"11001000",
595
 B"11011100", B"11100011", B"00101111", B"00101010", B"00011110",
596
 B"11100011", B"00100111", B"11011111", B"00010000", B"00110011",
597
 B"11100100", B"00010111", B"11010111", B"11011010", B"11110011",
598
 B"00101000", B"00011100", B"11011101", B"00001010", B"11100101",
599
 B"00100000", B"11110110", B"11110100", B"00101011", B"00010111",
600
 B"00100000", B"00101111", B"11000110", B"11100010", B"00010111",
601
 B"00011011", B"11100001", B"11101101", B"00101110", B"00010111",
602
 B"11011000", B"00010111", B"00100101", B"11100001", B"11101010",
603
 B"00100111", B"11110110", B"00101011", B"11101100", B"00100000",
604
 B"11111101", B"11010001", B"00110010", B"00010101", B"11001000",
605
 B"00100000", B"11100001", B"00100111", B"11101010", B"11100100",
606
 B"00110100", B"00100010", B"00011101", B"11100011", B"11101000",
607
 B"00101101", B"11100011", B"11011010", B"11010000", B"11100011",
608
 B"00001110", B"11110011", B"00101000", B"11100111", B"11011001",
609
 B"11101111", B"00011110", B"00101011", B"00011111", B"00010001",
610
 B"00011010", B"00011111", B"00011011", B"01000001", B"00011111",
611
 B"00011011", B"00001100", B"00010101", B"11000010", B"11100011",
612
 B"00010111", B"00100101", B"11110111", B"11100000", B"00001100",
613
 B"00010011", B"11111001", B"11100101", B"00011100", B"00010100",
614
 B"11101010", B"10111100", B"00100010", B"11000011", B"11101010",
615
 B"00011011", B"11011010", B"11100000", B"11011000", B"11100111",
616
 B"00000001", B"00101001", B"11101011", B"11011000", B"00010010",
617
 B"00111001", B"11010000", B"10111111", B"11001101", B"11101011",
618
 B"00100101", B"00011000", B"00011010", B"00100001", B"11001100",
619
 B"11010001", B"11110010", B"11010111", B"11110000", B"11101101",
620
 B"11111001", B"00100110", B"00011110", B"00110000", B"01000011",
621
 B"00110101", B"00011011", B"11100000", B"01010010", B"11010101",
622
 B"00101010", B"10111011", B"11101011", B"11110000", B"00010001",
623
 B"11010110", B"00011111", B"11001100", B"11010010", B"00010000",
624
 B"11011010", B"00010010", B"11010011", B"11100110", B"11010111",
625
 B"00101001", B"00010111", B"11010110", B"11100000", B"11101100",
626
 B"11001011", B"11100100", B"00010011", B"00001001", B"00000101",
627
 B"00000111", B"11001101", B"11001001", B"00011101", B"00001010",
628
 B"00011011", B"00101101", B"11011111", B"11101111", B"00000011",
629
 B"00011101", B"00010000", B"00101000", B"11011100", B"00100111",
630
 B"11001111", B"00011110", B"11110101", B"00110011", B"11011100",
631
 B"00000100", B"00011011", B"11011011", B"11101011", B"00011101",
632
 B"11001110", B"11001000", B"00001110", B"00011110", B"00011111",
633
 B"00001011", B"11100110", B"00000011", B"00011100", B"00011100",
634
 B"11010101", B"00100010", B"00011111", B"11100000", B"11110000",
635
 B"00100000", B"11101001", B"11100011", B"00100010", B"00010000",
636
 B"00100100", B"00010111", B"11100001", B"11111010", B"00101001",
637
 B"00011100", B"00100001", B"11011111", B"00100111", B"11010000",
638
 B"00110000", B"11110111", B"11100011", B"11001100", B"00001000",
639
 B"11101000", B"00100101", B"11010000", B"00000111", B"00001001",
640
 B"00100101", B"11101111", B"11101010", B"11101011", B"11100010",
641
 B"00010110", B"11010010", B"00100001", B"00011010", B"00100110",
642
 B"00011011", B"11010010", B"00001010", B"11010000", B"00010000",
643
 B"11011000", B"11100101", B"11011100", B"11101110", B"00101101",
644
 B"00110010", B"11111101", B"00100011", B"11101001", B"11101011",
645
 B"00100111", B"11101010", B"00001110", B"11011100", B"11100000",
646
 B"00110011", B"00101111", B"00001011", B"00011000", B"11001111",
647
 B"00011001", B"00100101", B"11001111", B"11101001", B"00100110",
648
 B"11110101", B"11011101", B"11101110", B"11000111", B"00000010",
649
 B"00101100", B"00100101", B"00100111", B"00111111", B"11010111",
650
 B"00011001", B"11011110", B"11100000", B"11010111", B"00101100",
651
 B"00100111", B"11110111", B"00011110", B"11111010", B"11101111",
652
 B"11110001", B"00100000", B"00011101", B"11011010", B"11111001",
653
 B"11100110", B"11010101", B"00011100", B"00100001", B"11101010",
654
 B"00011011", B"11011111", B"00100110", B"00010110", B"00101001",
655
 B"11110100", B"01000010", B"11101000", B"00101000", B"11111110",
656
 B"00010111", B"00011001", B"00001011", B"11101100", B"00011100",
657
 B"11111000", B"00010000", B"11010110", B"11100011", B"00010011",
658
 B"00110111", B"00011100", B"11111101", B"00110100", B"11011111",
659
 B"00111111", B"11101001", B"00100001", B"00010000", B"00100001",
660
 B"11100110", B"00100110", B"00001011", B"00011001", B"11010010",
661
 B"00011101", B"00110001", B"00010100", B"11111010", B"00110001",
662
 B"11110111", B"00111010", B"11101000", B"00010001", B"11100101",
663
 B"11100110", B"11011111", B"00100011", B"00000001", B"11000101",
664
 B"00011011", B"11101000", B"01000000", B"11101111", B"11100100",
665
 B"11010000", B"00000110", B"00100110", B"11010100", B"00101011",
666
 B"00011000", B"00011101", B"11011111", B"00001100", B"11001011",
667
 B"00001011", B"11110010", B"00110010", B"11010010", B"11100110",
668
 B"11011100", B"00010110", B"00101010", B"11011110", B"00110001",
669
 B"00011110", B"11110100", B"11011010", B"00011100", B"00100001",
670
 B"11001001", B"11110010", B"00000111", B"00101010", B"00111000",
671
 B"11110000", B"00101010", B"11000101", B"00101001", B"11110101",
672
 B"11011100", B"11011010", B"11000010", B"00100100", B"00111100",
673
 B"11010110", B"00111111", B"11010101", B"11100111", B"11111000",
674
 B"11110000", B"11100011", B"11111001", B"00110011", B"00010110",
675
 B"00101001", B"00001001", B"11001010", B"11100111", B"11010111",
676
 B"11110010", B"00101110", B"11010011", B"00001011", B"00010000",
677
 B"00100000", B"11010000", B"00001101", B"00001001", B"11100110",
678
 B"11010000", B"00100100", B"00001110", B"11100101", B"00110101",
679
 B"11001011", B"11010110", B"11101101", B"00000100", B"00100011",
680
 B"00111001", B"11010101", B"10111001", B"00010010", B"11011010",
681
 B"11101001", B"11011111", B"11101111", B"00110100", B"00011000",
682
 B"00011011", B"00110100", B"00101001", B"00010001", B"00011011",
683
 B"00000111", B"11100011", B"11110000", B"11110011", B"00011101",
684
 B"00011011", B"11100111", B"00011101", B"11100011", B"00101111",
685
 B"00011100", B"00100110", B"00111100", B"00101010", B"00010000",
686
 B"00100111", B"00100011", B"00010101", B"11100010", B"11100111",
687
 B"11101011", B"11010111", B"11100111", B"11011000", B"11100000",
688
 B"11011000", B"00011110", B"11100011", B"11101010", B"11111101",
689
 B"00101011", B"00101011", B"11110000", B"00001100", B"11011110",
690
 B"00001100", B"11110110", B"11011001", B"11010110", B"00000100",
691
 B"00010100", B"00011010", B"00011111", B"00110111", B"11010110",
692
 B"00100010", B"11111010", B"01000110", B"11111100", B"00101010",
693
 B"00011001", B"00100100", B"11001111", B"11111001", B"11001001",
694
 B"01000011", B"11100001", B"11100100", B"11011111", B"11101101",
695
 B"11001000", B"00010010", B"00101010", B"00010001", B"00111010",
696
 B"11011010", B"11110010", B"00010101", B"00011001", B"11110100",
697
 B"00110111", B"11101111", B"11101011", B"11010011", B"11011111",
698
 B"11110111", B"00010100", B"00101011", B"11110001", B"00100011",
699
 B"11011011", B"00010100", B"00101011", B"00100011", B"00110010",
700
 B"00111101", B"00101110", B"01000011", B"00001111", B"00101110",
701
 B"11011011", B"00101001", B"11000101", B"11110101", B"11001101",
702
 B"00100111", B"00011001", B"11010000", B"00100111", B"11010010",
703
 B"11011011", B"11010101", B"00111011", B"00101001", B"11100100",
704
 B"11111110", B"00100010", B"11101100", B"11101001", B"11110111",
705
 B"00001110", B"01001001", B"11110100", B"00000010", B"00110100",
706
 B"00100000", B"00010110", B"00010101", B"00101101", B"00100001",
707
 B"00100101", B"00101111", B"11101110", B"11001111", B"00010101",
708
 B"11100101", B"11011111", B"11110101", B"11100001", B"00010001",
709
 B"11011011", B"11011111", B"11001101", B"11010111", B"01001110",
710
 B"11100110", B"00101110", B"00011001", B"11100110", B"11110001",
711
 B"11011101", B"11000110", B"00010111", B"11010110", B"00010111",
712
 B"11100101", B"10110001", B"11100101", B"00011010", B"00100010",
713
 B"11000000", B"00011100", B"11101011", B"00100011", B"00101001",
714
 B"11110110", B"11101011", B"00011101", B"00010001", B"11101000",
715
 B"11100100", B"00000111", B"11110101", B"00010101", B"00100110",
716
 B"01000000", B"00011001", B"00010110", B"00010111", B"11001001",
717
 B"00000100", B"00011000", B"00100101", B"00111001", B"00000000",
718
 B"11001110", B"11010111", B"00011110", B"11011100", B"11001100",
719
 B"00110011", B"11110011", B"11100110", B"11100000", B"11010101",
720
 B"00100100", B"00011100", B"00011010", B"00101111", B"00010100",
721
 B"00001111", B"00100111", B"00011000", B"11011011", B"00011010",
722
 B"00011000", B"00101010", B"10110010", B"00100110", B"11011011",
723
 B"00111011", B"00100000", B"00010010", B"11100100", B"00100111",
724
 B"00110111", B"11101100", B"11010101", B"00100101", B"00011010",
725
 B"11101011", B"00100111", B"00010000", B"11011010", B"00110010",
726
 B"00001111", B"11101110", B"11010101", B"11101001", B"00011000",
727
 B"11110010", B"00101011", B"11011101", B"11010011", B"11111101",
728
 B"00001011", B"00010101", B"00000100", B"00111001", B"00101100",
729
 B"00001111", B"00110010", B"00101110", B"00101100", B"00010110",
730
 B"00101100", B"00011110", B"00011110", B"00011001", B"00011100",
731
 B"00101101", B"00011101", B"00001111", B"00000011", B"11010111",
732
 B"00000100", B"11001100", B"00010011", B"11101110", B"00100111",
733
 B"11010110", B"00100101", B"00000101", B"11100010", B"00101000",
734
 B"00011110", B"11101101", B"11100111", B"11101100", B"00010111",
735
 B"11011110", B"00110011", B"11011000", B"00000110", B"00110100",
736
 B"00011111", B"00100011", B"11111100", B"11010000", B"00011001",
737
 B"00100101", B"11101001", B"11010110", B"11001111", B"00110010",
738
 B"00100001", B"11011000", B"11101001", B"11101010", B"11011111",
739
 B"11000110", B"00011111", B"11100000", B"11100100", B"00001010",
740
 B"11100101", B"11100111", B"11110011", B"11010001", B"11011101",
741
 B"00110101", B"00100111", B"11111011", B"11000101", B"11011101",
742
 B"11100011", B"11101010", B"01000010", B"00101010", B"11110000",
743
 B"00001101", B"00010111", B"00100110", B"00101100", B"00101010",
744
 B"00100101", B"00100100", B"00010111", B"00011101", B"00101100",
745
 B"00110001", B"00011001", B"00110010", B"00011001", B"00100110",
746
 B"00010111", B"11101111", B"00101010", B"11110110", B"00110111",
747
 B"11100000", B"11011111", B"00000011", B"11100110", B"11011000",
748
 B"11110000", B"00110110", B"00011110", B"11100100", B"11011111",
749
 B"00011010", B"00001110", B"00010011", B"10111110", B"00010010",
750
 B"11010110", B"00010111", B"11010011", B"00010100", B"00010000",
751
 B"11110011", B"11011011", B"11011101", B"11010000", B"11101101",
752
 B"00011000", B"11111000", B"00010011", B"00010100", B"00100100",
753
 B"00011010", B"00010110", B"00100101", B"11100000", B"00100000",
754
 B"00010000", B"00101101", B"11111011", B"00100100", B"11000010",
755
 B"00101111", B"11101100", B"11101110", B"00011100", B"11101111",
756
 B"00011011", B"11010111", B"11110010", B"00011111", B"00011010",
757
 B"11111110", B"11100100", B"11011100", B"11011101", B"00011101",
758
 B"11011101", B"00010101", B"00100100", B"11101010", B"11110110",
759
 B"11111100", B"11100001", B"00000100", B"11111010", B"11101011",
760
 B"00110111", B"11011110", B"00100001", B"00001111", B"11000110",
761
 B"00100101", B"01001011", B"11111001", B"11101001", B"00011010",
762
 B"11011110", B"11101111", B"11101010", B"00110011", B"00100011",
763
 B"11001110", B"00101001", B"00110000", B"11111010", B"00100101",
764
 B"00011100", B"11110001", B"11101000", B"00011011", B"00100110",
765
 B"00101011", B"00010011", B"00111001", B"00000011", B"01000010",
766
 B"00010001", B"00011111", B"01000100", B"11001001", B"00100111",
767
 B"00101011", B"11010100", B"00010110", B"00001011", B"10111011",
768
 B"11110111", B"00011000", B"11010100", B"00101010", B"11100100",
769
 B"11110101", B"00110110", B"00011010", B"11000000", B"11001000",
770
 B"00010001", B"11100100", B"00001110", B"11001000", B"11100100",
771
 B"00110010", B"11100010", B"00011000", B"11110110", B"11110100",
772
 B"11110010", B"00011000", B"00100011", B"11101101", B"11110011",
773
 B"10111000", B"00011001", B"00010111", B"00011010", B"00100101",
774
 B"11000100", B"11010110", B"00001111", B"11010100", B"11010101",
775
 B"11110001", B"11010100", B"00011101", B"11000111", B"00100110",
776
 B"11011011", B"11001010", B"11110010", B"11011101", B"00101110",
777
 B"00011110", B"00001111", B"00001101", B"00100011", B"11000100",
778
 B"11100010", B"00010001", B"11110100", B"11011100", B"11100101",
779
 B"11011000", B"01000001", B"00010111", B"11010011", B"11001101",
780
 B"00100000", B"00011110", B"11110011", B"11101000", B"00101010",
781
 B"00000101", B"00011111", B"11010011", B"00101100", B"11010000",
782
 B"00001110", B"11011101", B"00010100", B"11010111", B"00010101",
783
 B"00100011", B"11001111", B"00011101", B"00010101", B"11001101",
784
 B"00000111", B"00011011", B"00100100", B"11011101", B"00110010",
785
 B"11110000", B"00011000", B"11001001", B"11100001", B"00100000",
786
 B"11110100", B"00110010", B"11000001", B"11101111", B"00010010",
787
 B"00000110", B"11010010", B"00101010", B"11011011", B"11101100",
788
 B"11011000", B"00110001", B"00100100", B"11100100", B"00011011",
789
 B"00001001", B"11001011", B"00001001", B"11000111", B"11110111",
790
 B"11100111", B"11100000", B"11011101", B"11011110", B"11101101",
791
 B"11000111", B"00101010", B"00000110", B"00101000", B"00101101",
792
 B"00001001", B"00111010", B"11101001", B"00010101", B"11101010",
793
 B"11100011", B"00100011", B"00011101", B"11011001", B"11001010",
794
 B"11010101", B"00110100", B"00100100", B"11100010", B"00101010",
795
 B"11110011", B"00001111", B"00110011", B"11001111", B"00101100",
796
 B"00011101", B"11101000", B"11010101", B"00101010", B"11101111",
797
 B"00010011", B"00101000", B"00101100", B"11100101", B"00101111",
798
 B"11001000", B"00010000", B"00011101", B"11011010", B"00011001",
799
 B"00101110", B"11000111", B"00100100", B"00010111", B"11110001",
800
 B"00011111", B"01000001", B"11011000", B"00110001", B"00110100",
801
 B"11101010", B"11010111", B"00000011", B"10111100", B"00111111",
802
 B"11101010", B"00110011", B"11100010", B"11001001", B"00101001",
803
 B"00110001", B"11001000", B"00100001", B"11110110", B"00010110",
804
 B"11001111", B"11001100", B"00011011", B"00010000", B"11011010",
805
 B"00010111", B"11110110", B"00010011", B"11010101", B"11010100",
806
 B"00110001", B"00011000", B"00011110", B"00010100", B"00100110",
807
 B"11011110", B"00000011", B"11100101", B"00011000", B"11010111",
808
 B"00000101", B"00111011", B"11011001", B"00111101", B"11111010",
809
 B"11100110", B"00111110", B"00101010", B"00110011", B"00101001",
810
 B"00011011", B"00101000", B"00011001", B"00011100", B"00001111",
811
 B"00101110", B"11010011", B"00101011", B"11101100", B"00111101",
812
 B"11111100", B"00001000", B"00101111", B"00001111", B"00010110",
813
 B"10111100", B"00010010", B"11011110", B"11100001", B"11000011",
814
 B"00110001", B"00101010", B"11010110", B"01000110", B"11100100",
815
 B"11010000", B"11010101", B"00100110", B"00001101", B"11011011",
816
 B"00101101", B"01001100", B"00111011", B"00101000", B"00010000",
817
 B"00110100", B"00100000", B"00011100", B"00100101", B"11100111",
818
 B"00101010", B"00100100", B"11010001", B"00001010", B"00110000",
819
 B"11100111", B"11010011", B"00001101", B"00001101", B"00010000",
820
 B"11110111", B"00101010", B"11100000", B"00100100", B"11101100",
821
 B"11100010", B"11011011", B"11101000", B"00101100", B"00101110",
822
 B"00010110", B"00011111", B"00110011", B"11011111", B"00100000",
823
 B"00101111", B"11110001", B"00100010", B"00011001", B"11010110",
824
 B"11110101", B"00011110", B"11110001", B"00011011", B"11100110",
825
 B"11001001", B"00110001", B"11101110", B"11011101", B"11010100",
826
 B"10111101", B"11000011", B"00100000", B"00111101", B"00010010",
827
 B"00101010", B"11011111", B"00010110", B"00010001", B"00101010",
828
 B"11110010", B"00011000", B"11100100", B"00101011", B"11011000",
829
 B"11001100", B"11010010", B"00110000", B"00110000", B"11100001",
830
 B"00110100", B"11100101", B"00011001", B"00001010", B"11000101",
831
 B"11110110", B"11100011", B"00101110", B"00000111", B"00010000",
832
 B"11011100", B"10111001", B"11010001", B"00000110", B"00011100",
833
 B"11011101", B"00110001", B"11011011", B"11000000", B"00110010",
834
 B"11001110", B"11111101", B"11001000", B"00101000", B"00110010",
835
 B"11100011", B"00101000", B"00111011", B"00000010", B"00010010",
836
 B"01000010", B"00010101", B"00011010", B"00010000", B"11010100",
837
 B"11011011", B"00110100", B"00011110", B"00100110", B"00011000",
838
 B"11110010", B"11001110", B"00001111", B"00101110", B"11010100",
839
 B"11001000", B"00110100", B"00010111", B"11011010", B"11011010",
840
 B"00100000", B"00011001", B"00100111", B"00100100", B"00110001",
841
 B"00100000", B"00001001", B"00010100", B"11001111", B"00011100",
842
 B"11111001", B"11011101", B"11010110", B"00100010", B"01000100",
843
 B"11101101", B"11010100", B"11011101", B"00010111", B"00100111",
844
 B"00110100", B"00000010", B"11010101", B"11010111", B"00100000",
845
 B"00010110", B"11011110", B"00101001", B"00101111", B"11011110",
846
 B"11010110", B"00010111", B"11111100", B"00100000", B"00101111",
847
 B"00110001", B"11101010", B"00011001", B"11101100", B"00011001",
848
 B"11010111", B"00011100", B"11011101", B"11100011", B"11011100",
849
 B"00010011", B"00011110", B"11101011", B"00101010", B"11100111",
850
 B"11101101", B"00011101", B"11000101", B"11101100", B"11001100",
851
 B"11001101", B"11000101", B"00011010", B"00011000", B"00101111",
852
 B"11100111", B"00100011", B"11001000", B"00110101", B"11110100",
853
 B"11010110", B"11000001", B"11100011", B"00101011", B"00010100",
854
 B"00010110", B"00100101", B"11100111", B"00001010", B"11111011",
855
 B"00110001", B"11010010", B"00100100", B"11110011", B"00100010",
856
 B"00100101", B"11100011", B"11100000", B"00011101", B"11011100",
857
 B"11100101", B"11110010", B"11100000", B"11100100", B"11011000",
858
 B"00011000", B"00010101", B"01000011", B"00100001", B"11011101",
859
 B"11110110", B"11101110", B"00010100", B"00001010", B"11001110",
860
 B"11100100", B"11011000", B"11111010", B"11010110", B"11011100",
861
 B"10111011", B"00111011", B"11010100", B"00110010", B"11001100",
862
 B"11011100", B"00111000", B"11011110", B"00011101", B"00100011",
863
 B"11011111", B"11100010", B"11101000", B"11010111", B"11011101",
864
 B"00001110", B"00100100", B"11011111", B"00110000", B"00011111",
865
 B"11011000", B"11001010", B"00111010", B"00011111", B"11101000",
866
 B"00011111", B"11111111", B"11011010", B"00110011", B"01000100",
867
 B"11100111", B"00001001", B"11101100", B"00011000", B"11011001",
868
 B"11011111", B"11100111", B"00010011", B"00101001", B"01000100",
869
 B"11101001", B"00100110", B"11010001", B"11011101", B"11110000",
870
 B"00010110", B"00010111", B"11010100", B"00110101", B"11101101",
871
 B"00001101", B"11101000", B"11100101", B"00111001", B"00000110",
872
 B"00100010", B"00010110", B"00101101", B"00110001", B"00010010",
873
 B"00010110", B"00000101", B"00100000", B"11101111", B"00001000",
874
 B"00111001", B"00010101", B"00001100", B"00010011", B"11111000",
875
 B"00011111", B"11110000", B"00010011", B"11111000", B"00110000",
876
 B"11101011", B"11101000", B"11111101", B"00100011", B"11101101",
877
 B"11100011", B"00010110", B"00110111", B"00101011", B"00001101",
878
 B"11010101", B"11100110", B"00110001", B"11101000", B"11110011",
879
 B"00101011", B"11101101", B"11100010", B"11011101", B"11011111",
880
 B"00101000", B"11101110", B"11010101", B"00101101", B"11101111",
881
 B"11110000", B"11010000", B"11010111", B"11010101", B"11101101",
882
 B"00011010", B"00011011", B"00011001", B"00011101", B"11011111",
883
 B"11001011", B"00100111", B"00111100", B"11100001", B"11011000",
884
 B"11111100", B"00110011", B"11010110", B"11100000", B"00101011",
885
 B"00001000", B"11010011", B"00001010", B"00011000", B"11101000",
886
 B"11000010", B"00100001", B"00011001", B"00101011", B"00100001",
887
 B"11111110", B"00100110", B"00010100", B"00111000", B"00011110",
888
 B"00011010", B"11001010", B"01010011", B"00101001", B"11011010",
889
 B"00100001", B"00011010", B"11101010", B"00001110", B"00010101",
890
 B"11001111", B"00000000", B"00110001", B"11101011", B"11110100",
891
 B"00110111", B"11100100", B"00001011", B"11100110", B"00100100",
892
 B"11011011", B"11001100", B"00101010", B"00101100", B"11110101",
893
 B"00100010", B"11100001", B"00110010", B"11101100", B"10111111",
894
 B"00001100", B"00101001", B"00001011", B"11010111", B"00111010",
895
 B"11010110", B"11100101", B"11101001", B"00011000", B"00101100",
896
 B"00100111", B"11010111", B"11100100", B"00101101", B"11100010",
897
 B"11101101", B"11101011", B"11010111", B"11101101", B"00001100",
898
 B"00100010", B"00110100", B"11100100", B"00101000", B"11101111",
899
 B"00010000", B"00111101", B"00011000", B"00100101", B"11100110",
900
 B"00100011", B"11011010", B"00010100", B"00000000", B"00100101",
901
 B"11000101", B"00010011", B"00010111", B"11110001", B"01000010",
902
 B"11110110", B"11011101", B"11010110", B"11010000", B"11101010",
903
 B"11011011", B"00011101", B"00011011", B"00100000", B"00010100",
904
 B"00101010", B"00011011", B"10111100", B"11001011", B"00011001",
905
 B"00110100", B"11110111", B"11110000", B"00001001", B"11101100",
906
 B"11101110", B"11011111", B"11100110", B"00011010", B"11011011",
907
 B"00010000", B"11011011", B"00100100", B"00100010", B"11101010",
908
 B"11010110", B"11000011", B"11110001", B"11110010", B"00100100",
909
 B"11110001", B"11001100", B"11011101", B"11010011", B"00111110",
910
 B"11101011", B"00001101", B"00010011", B"11001101", B"11101000",
911
 B"00100000", B"10110101", B"11011110", B"11001101", B"11010000",
912
 B"11100000", B"11011111", B"00100000", B"00011110", B"00101011",
913
 B"00010101", B"11011011", B"11100110", B"11101001", B"00010011",
914
 B"11011010", B"00100001", B"11110111", B"11111111", B"00101001",
915
 B"00011001", B"11100101", B"00001111", B"00011000", B"11010010",
916
 B"11010110", B"11110011", B"11100110", B"10111000", B"00010110",
917
 B"00110000", B"11100011", B"11100000", B"00100001", B"00010011",
918
 B"11010111", B"11001110", B"00001111", B"00011000", B"00001111",
919
 B"00100100", B"00100101", B"00111010", B"00110011", B"00001010",
920
 B"00011011", B"00110111", B"11100101", B"00011001", B"00001110",
921
 B"11101001", B"11101011", B"00111101", B"00011000", B"11101101",
922
 B"11011110", B"00011101", B"11011101", B"11100111", B"11101011",
923
 B"11110011", B"00001000", B"00001100", B"00100101", B"00110001",
924
 B"00101001", B"00100010", B"00001000", B"00010100", B"11010101",
925
 B"11100111", B"00000111", B"00101101", B"00100001", B"00010111",
926
 B"11100111", B"11010111", B"11011011", B"11101001", B"00101111",
927
 B"11001110", B"00100101", B"11011001", B"11011000", B"00100011",
928
 B"00101100", B"10111111", B"00101010", B"00110011", B"11100000",
929
 B"00101110", B"00011110", B"11100011", B"00101100", B"00010100",
930
 B"11100010", B"11111101", B"00101011", B"11011001", B"11100000",
931
 B"00101101", B"11011101", B"11110000", B"11001101", B"00100000",
932
 B"00100101", B"11100010", B"00101010", B"11000000", B"00001010",
933
 B"00110001", B"11100111", B"11100000", B"00001001", B"00100000",
934
 B"11110000", B"11111011", B"00100100", B"00110011", B"00100010",
935
 B"11110111", B"00101101", B"11111100", B"00110000", B"11110101",
936
 B"10111101", B"00010100", B"11011011", B"11100100", B"11011000",
937
 B"00100110", B"00110111", B"11100011", B"00101111", B"00110101",
938
 B"00110000", B"00010000", B"00000011", B"00011011", B"00100010",
939
 B"00000101", B"11010110", B"00010001", B"11110100", B"00101111",
940
 B"11111010", B"11110001", B"00011111", B"00110011", B"00100001",
941
 B"00011001", B"11011100", B"11100010", B"00010011", B"00010111",
942
 B"11101001", B"11011101", B"00100001", B"00110101", B"11111011",
943
 B"11001100", B"00010100", B"00010010", B"00000010", B"11001000",
944
 B"00101110", B"11101110", B"11001110", B"11100000", B"11111000",
945
 B"00011100", B"11011010", B"00110111", B"00101101", B"11011101",
946
 B"00110101", B"00100001", B"11010010", B"00110110", B"00101011",
947
 B"11110000", B"00101111", B"00001111", B"00001101", B"11010111",
948
 B"00100011", B"11111010", B"00001110", B"11100001", B"11010000",
949
 B"11100111", B"00010100", B"00010110", B"00011110", B"00100001",
950
 B"11011101", B"11010111", B"11010110", B"00101011", B"00011111",
951
 B"00001101", B"11000110", B"00000000", B"11001100", B"00011000",
952
 B"11101110", B"11011100", B"00001100", B"11100100", B"11111100",
953
 B"11011001", B"11001101", B"00110110", B"00011001", B"11100010",
954
 B"00010001", B"00100011", B"11100100", B"00100001", B"00011001",
955
 B"11011011", B"11111000", B"11101111", B"00111111", B"11100111",
956
 B"00110001", B"11100101", B"11110001", B"00100010", B"00000111",
957
 B"00010110", B"11100101", B"00100010", B"00011111", B"11011000",
958
 B"11100001", B"00101011", B"11101111", B"00001111", B"11100110",
959
 B"11100010", B"11100011", B"00101010", B"00010111", B"11001110",
960
 B"11101011", B"00001101", B"11110111", B"00010010", B"11001010",
961
 B"11100111", B"00010110", B"11111100", B"11101000", B"00010111",
962
 B"11011111", B"11010101", B"10111100", B"00001010", B"00101110",
963
 B"11101101", B"00010111", B"00101100", B"11011101", B"11001111",
964
 B"00100101", B"00010010", B"00000010", B"11100011", B"11011101",
965
 B"00000001", B"00011100", B"00011000", B"11101110", B"00100000",
966
 B"11100100", B"00101100", B"11110011", B"00110110", B"00011000",
967
 B"00100110", B"11101001", B"00110111", B"11010011", B"00001001",
968
 B"00101111", B"00111110", B"11011001", B"00110011", B"00000011",
969
 B"11110111", B"11100100", B"00100110", B"11100010", B"00011111",
970
 B"11100000", B"00001001", B"11100101", B"11101011", B"00110111",
971
 B"00110010", B"00101110", B"00110001", B"11001100", B"00100110",
972
 B"00100111", B"11100011", B"11011111", B"00001111", B"00010011",
973
 B"11110010", B"11101101", B"00110111", B"11110101", B"11100000",
974
 B"11010000", B"11110110", B"11101011", B"11011011", B"00001010",
975
 B"00111010", B"00011011", B"00110011", B"11101101", B"11100100",
976
 B"11011000", B"11100111", B"11100000", B"00101010", B"00001110",
977
 B"11001101", B"00100100", B"11011111", B"00010011", B"00100010",
978
 B"11001011", B"00011010", B"00011100", B"11000101", B"11100110",
979
 B"00101000", B"00011001", B"11001011", B"11011011", B"00011110",
980
 B"11101011", B"11110010", B"11010010", B"11101011", B"00010000",
981
 B"00100011", B"00011100", B"11001000", B"00001010", B"11100101",
982
 B"01000000", B"11010110", B"00000010", B"11010110", B"11101111",
983
 B"11010100", B"11100000", B"00101011", B"11101100", B"00100110",
984
 B"11001100", B"11001011", B"00000111", B"11101011", B"00100111",
985
 B"11101110", B"11100000", B"00010100", B"11010110", B"11110000",
986
 B"00100001", B"11010001", B"00111111", B"11101110", B"11101000",
987
 B"00001010", B"11100101", B"11001110", B"11010101", B"11100111",
988
 B"00100011", B"00101100", B"00100000", B"00001011", B"00101110",
989
 B"00011111", B"11110110", B"00011011", B"00010001", B"11100001",
990
 B"11011010", B"00010111", B"00011111", B"00010000", B"00011111",
991
 B"11011011", B"00001100", B"11101100", B"00100111", B"11000011",
992
 B"00100010", B"00110100", B"11101010", B"11001110", B"00001011",
993
 B"00110011", B"11110000", B"11000010", B"00110101", B"11010100",
994
 B"11010010", B"00100100", B"11011000", B"11001001", B"11100101",
995
 B"11111000", B"00011101", B"11111001", B"00010100", B"00101101",
996
 B"11011101", B"00110011", B"00011111", B"11011110", B"00010010",
997
 B"11011010", B"00010011", B"11001110", B"11011011", B"11000111",
998
 B"00010001", B"00011001", B"10111110", B"00101100", B"00010110",
999
 B"11101101", B"11111100", B"11001110", B"11100000", B"11101101",
1000
 B"00001011", B"00100000", B"11100011", B"11100011", B"00101110",
1001
 B"00011000", B"11010100", B"11101010", B"00011011", B"00110100",
1002
 B"00101100", B"00100010", B"11111111", B"00010000", B"00011010",
1003
 B"11111001", B"00001111", B"11101011", B"01000101", B"11101001",
1004
 B"11100000", B"11100011", B"00100001", B"00100000", B"11010001",
1005
 B"11100010", B"11010011", B"11100100", B"00101000", B"00101111",
1006
 B"00011101", B"00011101", B"00011001", B"00100011", B"11000111",
1007
 B"00101110", B"00001110", B"11101111", B"11100001", B"01000011",
1008
 B"11100100", B"11100001", B"11011110", B"00010000", B"00001010",
1009
 B"11010111", B"00010100", B"11101000", B"11100011", B"00111001",
1010
 B"11011101", B"00101001", B"11100100", B"11100101", B"00101110",
1011
 B"00100000", B"11100011", B"11010011", B"11100000", B"11101101",
1012
 B"00001111", B"00101011", B"00101000", B"00110011", B"00001100",
1013
 B"00001111", B"11101111", B"11010000", B"00011101", B"00010001",
1014
 B"11010010", B"11100101", B"11101110", B"11010101", B"00100110",
1015
 B"11100010", B"00111010", B"11011011", B"11100110", B"00011000",
1016
 B"00000001", B"00110110", B"11111001", B"11011100", B"00001100",
1017
 B"00101100", B"11000000", B"10110111", B"00011001", B"00001101",
1018
 B"00101111", B"00100010", B"00100111", B"00011001", B"00010110",
1019
 B"00110011", B"00100010", B"11110000", B"00100010", B"11111101",
1020
 B"11010111", B"11000001", B"00100000", B"00010100", B"00011101",
1021
 B"00100010", B"11110111", B"11100011", B"00100100", B"00011100",
1022
 B"11010001", B"11100001", B"00010111", B"11110011", B"00101110",
1023
 B"00101000", B"11010100", B"00011000", B"00100000", B"11100111",
1024
 B"00011000", B"11100111", B"00011001", B"11001111", B"11100101",
1025
 B"00000101", B"00110111", B"00100010", B"00000101", B"11011111",
1026
 B"11111010", B"11001101", B"11111110", B"00011111", B"11100011",
1027
 B"00011100", B"11000011", B"11100001", B"00111110", B"00100000",
1028
 B"00001110", B"11111000", B"11110001", B"11000110", B"00101010",
1029
 B"00001000", B"00101001", B"11101010", B"00001000", B"00000101",
1030
 B"00011001", B"00100010", B"00011001", B"00001010", B"11101100",
1031
 B"00100001", B"00111001", B"11011010", B"11010111", B"00011101",
1032
 B"11101100", B"11010001", B"00110000", B"11101101", B"00101111",
1033
 B"11001010", B"11000011", B"00011011", B"00010100", B"00011000",
1034
 B"11101001", B"00010100", B"00000100", B"11010100", B"11010000",
1035
 B"00011110", B"11100011", B"11000111", B"00011001", B"00101001",
1036
 B"00101000", B"00011001", B"11101000", B"11110111", B"11010110",
1037
 B"11010011", B"11100101", B"00101101", B"00010001", B"11011001",
1038
 B"00001010", B"11100011", B"11010100", B"11101101", B"11110001",
1039
 B"00010111", B"00111110", B"11011110", B"00011110", B"11111010",
1040
 B"00110110", B"00101110", B"11100001", B"11100001", B"00010010",
1041
 B"00011010", B"11101010", B"11011011", B"00100010", B"11001011",
1042
 B"00110010", B"11011101", B"11010111", B"11001011", B"00101110",
1043
 B"00001111", B"11100110", B"00100001", B"00000110", B"11011100",
1044
 B"11100111", B"11011010", B"11001111", B"11010000", B"00011101",
1045
 B"11100010", B"11100001", B"11101001", B"11011110", B"00011010",
1046
 B"11111100", B"00110101", B"00110111", B"00001110", B"00000101",
1047
 B"11110010", B"00111000", B"11101001", B"00110011", B"11011100",
1048
 B"00101001", B"00101110", B"11100000", B"11101011", B"00010110",
1049
 B"00001101", B"11100011", B"11010010", B"11010000", B"00001011",
1050
 B"00010111", B"00010001", B"11011010", B"00100101", B"11100000",
1051
 B"11111111", B"11111100", B"00011100", B"11011111", B"00011011",
1052
 B"00001001", B"11100000", B"11101101", B"00011101", B"00101100",
1053
 B"00111011", B"00011001", B"11001100", B"00010110", B"11011010",
1054
 B"00001101", B"11101111", B"11011111", B"00001110", B"00011000",
1055
 B"00110000", B"11111000", B"00100010", B"11101110", B"11110101",
1056
 B"11100010", B"00101011", B"11001011", B"00011101", B"11100000",
1057
 B"11100010", B"00100101", B"00100101", B"00100011", B"11011011",
1058
 B"11100111", B"00010101", B"11001100", B"11000010", B"11100010",
1059
 B"11101110", B"00011000", B"11100100", B"00100110", B"11111100",
1060
 B"11100101", B"11111010", B"00101110", B"00010001", B"00101101",
1061
 B"00011011", B"11011000", B"00001011", B"00011111", B"11111100",
1062
 B"11011010", B"00101110", B"00101100", B"11010101", B"00010110",
1063
 B"11101110", B"11011110", B"11111001", B"00100011", B"00100100",
1064
 B"00110101", B"00101011", B"11010110", B"00100111", B"00101101",
1065
 B"11010010", B"11001110", B"00101101", B"11101100", B"00110011",
1066
 B"11010101", B"10110001", B"11011101", B"00010100", B"01000011",
1067
 B"11101001", B"11111111", B"11010101", B"11011100", B"11101010",
1068
 B"00100000", B"00000001", B"00010110", B"00110100", B"11011000",
1069
 B"11011111", B"11100000", B"00011100", B"00001110", B"11001000",
1070
 B"00100000", B"11111110", B"00011100", B"11011110", B"00100110",
1071
 B"00001111", B"11110001", B"00010010", B"00011110", B"11101101",
1072
 B"00011000", B"11100011", B"11100011", B"00100010", B"11001100",
1073
 B"11100101", B"11100111", B"11010011", B"11101001", B"00001001",
1074
 B"00010111", B"11001000", B"11011011", B"11001000", B"11010011",
1075
 B"11010101", B"00101101", B"11110100", B"11001110", B"00100111",
1076
 B"11111011", B"11001111", B"11100010", B"11101001", B"00111101",
1077
 B"11111000", B"11100110", B"00000010", B"11011011", B"00110011",
1078
 B"11010010", B"00001011", B"00101100", B"11011100", B"11110011",
1079
 B"11010101", B"11011011", B"00100111", B"11100100", B"00101000",
1080
 B"11010010", B"00011101", B"00101111", B"00100010", B"11001111",
1081
 B"00010010", B"11001111", B"01000111", B"00100011", B"00100000",
1082
 B"00001101", B"11010101", B"00010111", B"11100100", B"00000111",
1083
 B"11011000", B"00000111", B"11010100", B"11101110", B"11100100",
1084
 B"11100110", B"00101100", B"11010000", B"00100100", B"11101000",
1085
 B"11101001", B"00110001", B"11011010", B"00110010", B"11101001",
1086
 B"11010110", B"00100100", B"11111000", B"11001010", B"11101000",
1087
 B"00011000", B"11110101", B"11011111", B"00111110", B"11011011",
1088
 B"11110111", B"00100011", B"11100111", B"11111001", B"11001100",
1089
 B"00011000", B"00101001", B"11110000", B"11010101", B"11100010",
1090
 B"11011010", B"11100011", B"00100101", B"00101100", B"00001000",
1091
 B"00101111", B"00000011", B"00011011", B"11001000", B"00011100",
1092
 B"00010100", B"11101110", B"11111100", B"00101010", B"00010010",
1093
 B"11100010", B"00101011", B"00000110", B"11110111", B"00101100",
1094
 B"00101010", B"00011101", B"11100001", B"11010001", B"00000111",
1095
 B"00010110", B"00100110", B"11101001", B"00000110", B"11010101",
1096
 B"00100111", B"11101001", B"11011111", B"11110011", B"10111010",
1097
 B"00010000", B"11100011", B"00011000", B"00011001", B"00100111",
1098
 B"00000100", B"00010110", B"00100011", B"00011110", B"00010110",
1099
 B"01001101", B"11011110", B"00100110", B"11100001", B"11100110",
1100
 B"11101011", B"00100111", B"00101011", B"11111110", B"00100011",
1101
 B"00101010", B"00101100", B"11100001", B"00100010", B"11010111",
1102
 B"00101110", B"11010101", B"00000011", B"00100001", B"00000010",
1103
 B"00101101", B"00011000", B"11100110", B"11100000", B"00010101",
1104
 B"00101110", B"00101000", B"00011110", B"00011101", B"00001011",
1105
 B"00100011", B"00111111", B"00001011", B"11011101", B"00101000",
1106
 B"11011001", B"11011001", B"11010110", B"00100110", B"00011000",
1107
 B"11001110", B"00010110", B"00010110", B"00011111", B"01000000",
1108
 B"00110001", B"00101011", B"00000101", B"00011010", B"00010110",
1109
 B"11110110", B"00011110", B"11101111", B"11100010", B"11110000",
1110
 B"00101001", B"00100111", B"00110101", B"00100110", B"00010010",
1111
 B"11011100", B"00100011", B"11100000", B"00101011", B"11001110",
1112
 B"11101011", B"11101001", B"11010101", B"11011111", B"00111111",
1113
 B"00011111", B"00001111", B"00100101", B"00100011", B"00100010",
1114
 B"00101001", B"00101100", B"00110011", B"00011101", B"00000100",
1115
 B"00101111", B"11100000", B"11011001", B"00010001", B"11001000",
1116
 B"00010001", B"11101011", B"11001100", B"00001111", B"11100000",
1117
 B"11011100", B"00100101", B"11011100", B"00100101", B"11010100",
1118
 B"11000000", B"00110011", B"00101001", B"00101100", B"11100010",
1119
 B"00111110", B"00111000", B"11101101", B"10101101", B"00100110",
1120
 B"11110011", B"00010011", B"11011010", B"00001110", B"11001010",
1121
 B"00110111", B"00010101", B"11101001", B"11100110", B"11011110",
1122
 B"11110000", B"00010100", B"00010011", B"11100110", B"00100101",
1123
 B"11101011", B"11101100", B"11001001", B"11011111", B"00100100",
1124
 B"00011010", B"11011001", B"00010111", B"11100111", B"00101100",
1125
 B"00001001", B"00101000", B"11101000", B"00110100", B"11011110",
1126
 B"00010010", B"11110110", B"00011110", B"00101010", B"11000011",
1127
 B"00000111", B"00101001", B"11001100", B"11011100", B"00101000",
1128
 B"11101000", B"00110000", B"11011101", B"11100011", B"11000000",
1129
 B"00101010", B"00100001", B"11110000", B"00110100", B"00110110",
1130
 B"11011011", B"11110110", B"00100100", B"00011001", B"11001111",
1131
 B"11001011", B"11000011", B"11100000", B"00001001", B"00000000",
1132
 B"00011101", B"11011111", B"11101001", B"00111010", B"00100101",
1133
 B"11001101", B"00110100", B"11011111", B"11001011", B"11111111",
1134
 B"00110000", B"00101000", B"10111111", B"00011100", B"11100001",
1135
 B"11101000", B"10111100", B"00011101", B"01000100", B"11100010",
1136
 B"11001111", B"11111001", B"00100000", B"00010110", B"00100111",
1137
 B"00101010", B"11100000", B"11011011", B"11101110", B"11110101",
1138
 B"00010100", B"00010111", B"00011101", B"00011101", B"11001001",
1139
 B"11011110", B"11101011", B"00111010", B"00001001", B"11110101",
1140
 B"11100111", B"11011111", B"11011110", B"11001100", B"00101001",
1141
 B"11101110", B"00101001", B"00000010", B"11101000", B"11100111",
1142
 B"00110000", B"00010000", B"00100000", B"00010111", B"00110111",
1143
 B"00100111", B"00101111", B"00000111", B"00100011", B"00010000",
1144
 B"00101010", B"11101110", B"11100100", B"11101001", B"11010010",
1145
 B"00011000", B"11010101", B"00001010", B"11011100", B"11100100",
1146
 B"11010011", B"11111010", B"00000111", B"11111011", B"00101011",
1147
 B"00010101", B"11111011", B"00000100", B"00110010", B"00100111",
1148
 B"11010101", B"00010000", B"11010100", B"00110000", B"00101111",
1149
 B"00111110", B"11100000", B"00010001", B"00011011", B"11010010",
1150
 B"11111010", B"00010010", B"00010101", B"11101001", B"00000101",
1151
 B"00011000", B"11101000", B"00011100", B"00010001", B"11000100",
1152
 B"11101010", B"11011011", B"00101101", B"00011101", B"00010111",
1153
 B"00011000", B"11011000", B"11001000", B"11010011", B"00011010",
1154
 B"00011011", B"11100000", B"11100101", B"11101110", B"11111100",
1155
 B"10111111", B"00010101", B"11101100", B"11001010", B"11001111",
1156
 B"11100111", B"00100110", B"11100011", B"00011000", B"11101000",
1157
 B"00101010", B"00010101", B"11010011", B"11101001", B"11110011",
1158
 B"11101001", B"10111111", B"00100010", B"11101001", B"00011100",
1159
 B"11001110", B"11011101", B"11101000", B"00100110", B"00001011",
1160
 B"00110111", B"00001000", B"00011001", B"00101001", B"00100110",
1161
 B"00110101", B"00101100", B"00011000", B"11110100", B"11010100",
1162
 B"11011000", B"00010101", B"00100000", B"11011001", B"00011000",
1163
 B"11001001", B"11010000", B"01000010", B"01000000", B"00011000",
1164
 B"11010110", B"00100001", B"11100000", B"00010001", B"11001010",
1165
 B"00010010", B"11011011", B"11010010", B"11101010", B"00011000",
1166
 B"00010101", B"11111010", B"00100110", B"11010000", B"11110000",
1167
 B"11011011", B"11011100", B"00100010", B"11011000", B"01000100",
1168
 B"00011001", B"11011110", B"00011100", B"11011011", B"11100111",
1169
 B"11100110", B"00010010", B"00001111", B"11011110", B"00100011",
1170
 B"00011000", B"11001110", B"00000101", B"11100011", B"11001011",
1171
 B"00000011", B"00011011", B"10110110", B"00100111", B"11110101",
1172
 B"11100010", B"11000100", B"00010010", B"00101110", B"11111100",
1173
 B"00000111", B"00011000", B"00001011", B"00100110", B"00101000",
1174
 B"01000000", B"00110101", B"11101101", B"11100100", B"00010011",
1175
 B"00110000", B"00011100", B"00101001", B"11011110", B"11010001",
1176
 B"00101000", B"11110011", B"11010110", B"11100001", B"11101001",
1177
 B"00010111", B"11011110", B"00111011", B"11101011", B"00010001",
1178
 B"00101010", B"11100110", B"11111010", B"11011110", B"11101100",
1179
 B"11001100", B"11100000", B"11101001", B"11100001", B"00101110",
1180
 B"01000100", B"11001110", B"00011010", B"11101100", B"11011100",
1181
 B"00011110", B"00011110", B"11101011", B"11110100", B"11100110",
1182
 B"11110010", B"11110011", B"11110001", B"00001111", B"11101100",
1183
 B"11011110", B"11010111", B"00111100", B"01000011", B"11001111",
1184
 B"11011110", B"00110101", B"10111000", B"00001011", B"11011100",
1185
 B"11011101", B"00101001", B"00011100", B"00000010", B"00000100",
1186
 B"11110100", B"11001000", B"00110011", B"00010100", B"11100010",
1187
 B"11010011", B"11001111", B"11011011", B"11111010", B"11011011",
1188
 B"00001100", B"11110100", B"11000011", B"00100000", B"11110111",
1189
 B"11101111", B"00100101", B"11100000", B"00100100", B"11010010",
1190
 B"11101110", B"00111001", B"00111001", B"11001101", B"00110000",
1191
 B"11101110", B"11110111", B"11010110", B"00001100", B"00100011",
1192
 B"00000101", B"11000010", B"00011110", B"00000001", B"11001011",
1193
 B"11111010", B"00011110", B"00011001", B"11010110", B"11011110",
1194
 B"11101010", B"00110111", B"00010111", B"11011010", B"00011011",
1195
 B"11010111", B"11001001", B"00110100", B"11011010", B"11110100",
1196
 B"11100100", B"00010011", B"00110000", B"11011001", B"10111000",
1197
 B"00001011", B"11101010", B"11101011", B"11100110", B"00011110",
1198
 B"00011000", B"11100011", B"11101101", B"11101000", B"00011010",
1199
 B"11011110", B"00101101", B"11011011", B"11101100", B"00000000",
1200
 B"00100000", B"11100010", B"11101010", B"11010100", B"11011000",
1201
 B"11111110", B"11001111", B"00101010", B"00100010", B"11011011",
1202
 B"00010001", B"11010110", B"11001110", B"00000010", B"00011110",
1203
 B"00100110", B"11101001", B"00111010", B"11110000", B"00111100",
1204
 B"11010100", B"11011110", B"00011001", B"00110011", B"11110101",
1205
 B"11101111", B"00100010", B"10111011", B"00001101", B"11011110",
1206
 B"11110001", B"00011101", B"11000000", B"10111001", B"00110010",
1207
 B"11011111", B"00110000", B"11001000", B"11011111", B"00000110",
1208
 B"11011011", B"00100111", B"00010010", B"00011110", B"11010111",
1209
 B"01001010", B"11101110", B"00101001", B"10111000", B"11010010",
1210
 B"00011101", B"11110111", B"00110100", B"11100100", B"11010010",
1211
 B"00011101", B"00011100", B"00101111", B"00001111", B"11010000",
1212
 B"00111000", B"11011000", B"00100000", B"11100110", B"11001100",
1213
 B"11010010", B"11001110", B"00110000", B"00101000", B"11100101",
1214
 B"00101101", B"11110001", B"00101110", B"11010010", B"00011011",
1215
 B"11100000", B"11010000", B"11010110", B"01000010", B"00110101",
1216
 B"00011111", B"11100000", B"00110110", B"01001000", B"11110100",
1217
 B"00010111", B"00100011", B"11100000", B"00011111", B"00011010",
1218
 B"00000111", B"11100110", B"00100001", B"11101000", B"00010110",
1219
 B"11011111", B"00011000", B"00100100", B"11100001", B"00100110",
1220
 B"00011101", B"11010101", B"11110101", B"00101100", B"11101001",
1221
 B"00000101", B"11011000", B"11100101", B"11100110", B"00100000",
1222
 B"00000110", B"11110001", B"00000000", B"00100000", B"00110110",
1223
 B"11011001", B"11010110", B"11100000", B"11011101", B"11010100",
1224
 B"11001100", B"00100101", B"00110001", B"11100001", B"11101110",
1225
 B"11010100", B"11011001", B"11101100", B"11100011", B"11100001",
1226
 B"11010010", B"00100001", B"00010010", B"11110110", B"00011000",
1227
 B"11011101", B"11010000", B"11011101", B"00001011", B"11011000",
1228
 B"00011011", B"11100101", B"11010011", B"00100000", B"00100101",
1229
 B"11110010", B"00001000", B"11100111", B"11100000", B"11010101",
1230
 B"00010101", B"00011000", B"11111000", B"11011100", B"11110111",
1231
 B"00101011", B"11001011", B"11101101", B"11011001", B"11001110",
1232
 B"00101111", B"00101001", B"00001110", B"11100010", B"00011110",
1233
 B"11011110", B"00000100", B"11100000", B"11011100", B"11100011",
1234
 B"00001000", B"11010101", B"00010001", B"11011111", B"11010000",
1235
 B"00010111", B"00011010", B"00110001", B"11101000", B"11011110",
1236
 B"00001010", B"00000010", B"11101010", B"00000010", B"00010101",
1237
 B"11001011", B"00000000", B"10111100", B"11011010", B"00111110",
1238
 B"11101110", B"00001111", B"00010111", B"11100100", B"11001101",
1239
 B"00110101", B"11011110", B"11010111", B"11010110", B"11110101",
1240
 B"00101100", B"00110101", B"00011110", B"11101100", B"00100111",
1241
 B"11111001", B"00011100", B"11010110", B"00010101", B"00010100",
1242
 B"11010000", B"11101101", B"00011101", B"00000101", B"11100111",
1243
 B"11100011", B"11011001", B"11110010", B"11011010", B"11101000",
1244
 B"00011010", B"00101011", B"00000010", B"00000110", B"11111110",
1245
 B"11011110", B"00010100", B"11101000", B"11010100", B"11100111",
1246
 B"11111001", B"00110101", B"11011011", B"11011000", B"00100010",
1247
 B"11001001", B"00011010", B"11101110", B"11010101", B"00111000",
1248
 B"00000000", B"11100111", B"11101100", B"11010101", B"00011001",
1249
 B"00001100", B"00100111", B"00010110", B"00001010", B"00101000",
1250
 B"00101000", B"00100110", B"00010110", B"00101010", B"00101010",
1251
 B"00101000", B"00000101", B"00011100", B"00110111", B"01000101",
1252
 B"11100000", B"00100111", B"11010011", B"00010111", B"11100011",
1253
 B"00010011", B"00110110", B"11010010", B"11110010", B"11100001",
1254
 B"11110110", B"11110011", B"11100010", B"11011100", B"11101110",
1255
 B"11110100", B"00011010", B"00101111", B"00100000", B"00001010",
1256
 B"11011011", B"11111001", B"00101101", B"00111000", B"00011010",
1257
 B"00100000", B"11101000", B"11100001", B"00101110", B"11111110",
1258
 B"11001100", B"00011011", B"11011111", B"11000000", B"11011100",
1259
 B"11101010", B"00010001", B"00101100", B"00010111", B"11010011",
1260
 B"00101000", B"11110101", B"00100101", B"11011001", B"11011011",
1261
 B"11111100", B"00110010", B"00011101", B"00100000", B"00011101",
1262
 B"11011100", B"11101101", B"11010010", B"00101110", B"11100111",
1263
 B"00010000", B"11001100", B"11110000", B"00100111", B"00011011",
1264
 B"11100001", B"11000110", B"11011101", B"11110100", B"00101100",
1265
 B"00001011", B"00101100", B"00011111", B"00011101", B"00100011",
1266
 B"11011111", B"11100101", B"00010111", B"00101000", B"11011010",
1267
 B"11101010", B"11000111", B"00100100", B"00110110", B"00001100",
1268
 B"11010010", B"00101000", B"11100111", B"00100000", B"00001111",
1269
 B"11010011", B"00010011", B"00101110", B"11000000", B"00111101",
1270
 B"00000000", B"11110011", B"11011111", B"11010010", B"00100000",
1271
 B"11110000", B"00100001", B"11100101", B"10111011", B"00010101",
1272
 B"00111100", B"11010110", B"00011111", B"00010011", B"00000010",
1273
 B"00010011", B"00110001", B"11101010", B"00011111", B"11110000",
1274
 B"00101001", B"00101100", B"11010110", B"00101001", B"00010111",
1275
 B"11111100", B"00000101", B"10111100", B"11100100", B"11100001",
1276
 B"11011110", B"00001100", B"11010011", B"00011000", B"11010000",
1277
 B"01001111", B"11101111", B"11111100", B"11010011", B"00100011",
1278
 B"00101111", B"11101100", B"11011111", B"11100100", B"11011110",
1279
 B"00100111", B"00110001", B"11110110", B"00110010", B"11101010",
1280
 B"00000111", B"11101000", B"00001110", B"11010001", B"11100011",
1281
 B"11110001", B"00010110", B"00001100", B"00110010", B"11010101",
1282
 B"01000100", B"11110011", B"11011111", B"11110001", B"00101100",
1283
 B"00101010", B"11000111", B"00110001", B"11001111", B"11011011",
1284
 B"11100010", B"00011011", B"00110011", B"11110000", B"11111000",
1285
 B"00011111", B"00011101", B"11010111", B"11011010", B"11011111",
1286
 B"11001000", B"11111011", B"00011111", B"00010101", B"00001101",
1287
 B"11100010", B"00101000", B"11101000", B"00000010", B"11101000",
1288
 B"00001110", B"11100100", B"11001000", B"00000111", B"11001100",
1289
 B"11110001", B"11010011", B"11100000", B"00101110", B"11010110",
1290
 B"11011010", B"00110010", B"11111101", B"11010000", B"11101001",
1291
 B"11100000", B"11101111", B"11010101", B"11101001", B"11111010",
1292
 B"00001000", B"00110000", B"00010001", B"00001111", B"00000010",
1293
 B"11010110", B"11011001", B"00101001", B"00100101", B"11101001",
1294
 B"00100101", B"11001110", B"11100110", B"11100001", B"00100100",
1295
 B"00001111", B"00010010", B"00011101", B"11011111", B"00010010",
1296
 B"11111111", B"11101011", B"00100000", B"00101001", B"00111101",
1297
 B"00100011", B"11011000", B"11111101", B"11001110", B"00011100",
1298
 B"11001001", B"11011101", B"11100111", B"00011001", B"00001110",
1299
 B"11000110", B"11100010", B"00100100", B"00011101", B"00001000",
1300
 B"11110101", B"00110100", B"11011100", B"00101101", B"11100111",
1301
 B"00110010", B"11101111", B"00010010", B"11110001", B"11110011",
1302
 B"01000010", B"00011111", B"11000110", B"11001000", B"00101101",
1303
 B"00111001", B"00010001", B"00100110", B"11100110", B"11011110",
1304
 B"11001111", B"00001011", B"00111110", B"11101010", B"11010000",
1305
 B"11011010", B"11010110", B"11111000", B"00100101", B"11000001",
1306
 B"00100001", B"11011100", B"00000000", B"11110010", B"00001010",
1307
 B"00101100", B"00101100", B"00010110", B"11111101", B"00101001",
1308
 B"00010100", B"11111000", B"11010010", B"00011111", B"00110101",
1309
 B"00101001", B"11111001", B"00100100", B"00100111", B"11011111",
1310
 B"11111001", B"00101001", B"00101001", B"00110010", B"11100001",
1311
 B"11100000", B"00100100", B"00110010", B"11011010", B"11100000",
1312
 B"11011101", B"00100101", B"00101001", B"11100000", B"00010110",
1313
 B"11101010", B"11001011", B"11010111", B"00011001", B"00001010",
1314
 B"11101001", B"00100101", B"00011111", B"11010100", B"11001100",
1315
 B"00110110", B"00011011", B"00010110", B"11110111", B"00110011",
1316
 B"11110111", B"11110111", B"11100000", B"00011101", B"00000010",
1317
 B"11010010", B"11000101", B"11111001", B"11100001", B"00001100",
1318
 B"11011111", B"00110001", B"11001000", B"11100111", B"11010111",
1319
 B"11101011", B"00000000", B"00001011", B"00011010", B"00101101",
1320
 B"00010000", B"11011001", B"00011011", B"00100001", B"11101111",
1321
 B"00101010", B"00101110", B"11100111", B"00101011", B"00011110",
1322
 B"00000001", B"11101110", B"00011001", B"11000110", B"00111001",
1323
 B"11100101", B"00111100", B"00011111", B"00001000", B"00010110",
1324
 B"00010001", B"00010000", B"00100100", B"00111110", B"11100000",
1325
 B"00100001", B"00010110", B"11111110", B"11100110", B"11010001",
1326
 B"11101001", B"11011000", B"11010001", B"11101110", B"00110101",
1327
 B"00101010", B"00101010", B"00011101", B"11100011", B"11100001",
1328
 B"00000111", B"00100111", B"11011000", B"00011001", B"00001110",
1329
 B"00000001", B"11011000", B"00101100", B"11100000", B"00001110",
1330
 B"11100110", B"00011001", B"11110011", B"11101111", B"00011110",
1331
 B"00010001", B"00100111", B"11100101", B"00101111", B"00100100",
1332
 B"11100000", B"00011111", B"00110010", B"11110101", B"00011000",
1333
 B"11101100", B"11101110", B"00101111", B"11101010", B"11010010",
1334
 B"11010000", B"11011010", B"00100101", B"00011010", B"11101011",
1335
 B"00011111", B"00111000", B"11011110", B"11111100", B"00100111",
1336
 B"00011011", B"00111100", B"00101111", B"11100101", B"00101111",
1337
 B"11011001", B"00100011", B"11101011", B"11011011", B"11111000",
1338
 B"11101110", B"11010100", B"00100010", B"00001000", B"00001110",
1339
 B"00010100", B"00100010", B"11100000", B"00100000", B"00100111",
1340
 B"11010100", B"00100001", B"00110011", B"11100101", B"00110011",
1341
 B"00010101", B"00100011", B"11001110", B"00100011", B"11001001",
1342
 B"00110100", B"11001111", B"00011010", B"11011110", B"00110110",
1343
 B"11100001", B"11110000", B"11010101", B"00100000", B"01000000",
1344
 B"00111000", B"00110110", B"00011101", B"11001011", B"00100001",
1345
 B"11010010", B"00100110", B"11010000", B"00100110", B"00011000",
1346
 B"11001011", B"00011110", B"00001110", B"11100110", B"11010011",
1347
 B"00001010", B"11110111", B"11110010", B"11101011", B"11100010",
1348
 B"11110100", B"00101011", B"11010000", B"00001010", B"00110111",
1349
 B"00100110", B"11010000", B"01001100", B"00001111", B"11100001",
1350
 B"11011110", B"00101111", B"11010110", B"11000000", B"10110101",
1351
 B"00010010", B"00101000", B"11001101", B"00010010", B"11110010",
1352
 B"00100011", B"11100011", B"00011110", B"00011110", B"11001011",
1353
 B"00110110", B"00101100", B"11101001", B"00101100", B"00100001",
1354
 B"00100110", B"11001000", B"00100101", B"11011011", B"00110010",
1355
 B"11101011", B"11100010", B"11011100", B"00111000", B"00101011",
1356
 B"00111000", B"00100011", B"11100100", B"11100000", B"00001010",
1357
 B"11011100", B"11100001", B"11111000", B"11101111", B"00011111",
1358
 B"11010101", B"00111101", B"11111101", B"11101100", B"00100110",
1359
 B"00001010", B"00100001", B"00101111", B"11111111", B"11100110",
1360
 B"11010011", B"00100100", B"11011011", B"00011000", B"11101001",
1361
 B"11011101", B"00011010", B"00100111", B"00101010", B"00100100",
1362
 B"11100101", B"11011100", B"00011001", B"00010101", B"11100000",
1363
 B"11100000", B"11100111", B"00001111", B"11011110", B"00110010",
1364
 B"11011111", B"11100011", B"00101010", B"00010101", B"11011001",
1365
 B"11100000", B"11100111", B"00101011", B"00100111", B"11101010",
1366
 B"00011111", B"11011100", B"00101010", B"11011000", B"00111110",
1367
 B"00110101", B"11010011", B"00110100", B"00100111", B"11111010",
1368
 B"00100010", B"11101000", B"00111001", B"11000010", B"11010010",
1369
 B"11000101", B"00100010", B"00101101", B"00001011", B"00110010",
1370
 B"00010100", B"11010000", B"00100010", B"11011010", B"00010111",
1371
 B"11001000", B"00110000", B"00101100", B"00110110", B"00110110",
1372
 B"00010011", B"00101011", B"00101011", B"00110001", B"00011001",
1373
 B"11010110", B"00010000", B"00100101", B"11100011", B"00101000",
1374
 B"00000010", B"11011101", B"11001000", B"00100101", B"00010000",
1375
 B"00101110", B"11101001", B"00101011", B"11100001", B"00010000",
1376
 B"11011110", B"00110010", B"00101001", B"11100101", B"00000001",
1377
 B"11100110", B"11111110", B"11101011", B"00011000", B"01000000",
1378
 B"11101001", B"00100000", B"00100110", B"11010001", B"11100110",
1379
 B"00101011", B"11111110", B"11011111", B"00101010", B"00011101",
1380
 B"11011001", B"00000111", B"00011100", B"11101110", B"00111010",
1381
 B"00011100", B"00111101", B"00001010", B"00111010", B"00100011",
1382
 B"00101011", B"00011000", B"00010001", B"00001110", B"11010001",
1383
 B"11011100", B"00110001", B"00011000", B"11110101", B"11110000",
1384
 B"11011111", B"11010010", B"11011010", B"11001001", B"01000001",
1385
 B"00100001", B"00111011", B"00110000", B"11011100", B"00101001",
1386
 B"11011111", B"00011100", B"11110110", B"11101000", B"00100010",
1387
 B"00110000", B"00010101", B"00011111", B"10111101", B"00011100",
1388
 B"00110010", B"11011001", B"11101100", B"00010100", B"00110010",
1389
 B"11101011", B"11010110", B"00100010", B"11100011", B"11001000",
1390
 B"11110101", B"11010100", B"00011110", B"00001010", B"11101001",
1391
 B"11011111", B"11000101", B"00011011", B"11001001", B"00100010",
1392
 B"11110010", B"00000011", B"11000001", B"00110000", B"00111010",
1393
 B"11100111", B"00111000", B"11011010", B"00011111", B"11100100",
1394
 B"00111110", B"11100111", B"11100010", B"11001011", B"00101111",
1395
 B"00011110", B"11100000", B"11110011", B"11011011", B"00001100",
1396
 B"00101011", B"00100101", B"00100000", B"00010100", B"00101011",
1397
 B"11010001", B"11100111", B"00011111", B"11010001", B"11100000",
1398
 B"11010010", B"11001011", B"00011101", B"00011010", B"11101011",
1399
 B"00101100", B"00011101", B"11101110", B"11111001", B"00110111",
1400
 B"00100101", B"00001101", B"11010011", B"11100001", B"00101110",
1401
 B"00101110", B"11011001", B"11101010", B"11010111", B"11100111",
1402
 B"00010011", B"11100110", B"00101000", B"11001000", B"11001010",
1403
 B"00100110", B"00101001", B"00100000", B"00100010", B"11101011",
1404
 B"00100001", B"11000100", B"00111111", B"11011101", B"11100111",
1405
 B"00000010", B"00001101", B"00110000", B"11100000", B"01000000",
1406
 B"11101010", B"00101100", B"11101110", B"11010010", B"00100111",
1407
 B"10111011", B"00100010", B"11101110", B"11011101", B"00100010",
1408
 B"00110110", B"00001011", B"00100010", B"11001010", B"00010011",
1409
 B"11000101", B"00010110", B"11011010", B"11110111", B"11100110",
1410
 B"11100011", B"11010011", B"00011010", B"00100111", B"00001001",
1411
 B"00011111", B"11101110", B"11010011", B"11101101", B"01000011",
1412
 B"00011101", B"10111011", B"00011111", B"10111111", B"11111111",
1413
 B"11101100", B"10111011", B"11010110", B"00101010", B"00011010",
1414
 B"00010011", B"00101100", B"11011000", B"00011001", B"11110010",
1415
 B"11011001", B"11101000", B"00110010", B"00110001", B"11001000",
1416
 B"11101000", B"11111111", B"00000110", B"00010100", B"00000110",
1417
 B"00011110", B"11010100", B"11111010", B"00100110", B"00100001",
1418
 B"00100010", B"00000001", B"00011100", B"11011000", B"00111000",
1419
 B"11101001", B"00011001", B"00110001", B"00001100", B"11101110",
1420
 B"00010101", B"11010111", B"00011110", B"11101100", B"11011010",
1421
 B"11010001", B"11100010", B"11110010", B"00010110", B"00001110",
1422
 B"00101110", B"00100100", B"00010111", B"00010100", B"00011011",
1423
 B"00011101", B"00010110", B"00100010", B"00101111", B"00100011",
1424
 B"11011101", B"00010011", B"00011011", B"00110010", B"11100000",
1425
 B"00101100", B"11001110", B"00001111", B"00100011", B"00101100",
1426
 B"00100010", B"00100101", B"00100000", B"00110001", B"00100100",
1427
 B"00010000", B"11011011", B"11010010", B"11010111", B"11011011",
1428
 B"00101010", B"00010000", B"00011111", B"00101100", B"11011000",
1429
 B"11100110", B"11010111", B"00011110", B"00011100", B"11111001",
1430
 B"00100100", B"11010001", B"00010011", B"00100011", B"11100001",
1431
 B"11010111", B"11111100", B"00011111", B"11010010", B"11011010",
1432
 B"00101001", B"11011101", B"11010000", B"00100011", B"11101100",
1433
 B"11011111", B"11100011", B"11100100", B"11101011", B"00001101",
1434
 B"01000110", B"00101000", B"11011101", B"00100110", B"11001101",
1435
 B"00101010", B"00100000", B"11100101", B"00011001", B"11011000",
1436
 B"11010010", B"11100111", B"00011010", B"00010111", B"00011111",
1437
 B"11111110", B"00001110", B"00101011", B"11101001", B"00110100",
1438
 B"00111000", B"11100000", B"11100110", B"00100101", B"00001110",
1439
 B"00001000", B"11011110", B"00011000", B"11010100", B"00100010",
1440
 B"00100101", B"01000000", B"00010011", B"11100001", B"00100100",
1441
 B"11100010", B"00001111", B"11010011", B"00100110", B"00011110",
1442
 B"00001100", B"11111011", B"00100101", B"11010110", B"00001101",
1443
 B"11101101", B"11100101", B"00011000", B"00100001", B"11011111",
1444
 B"11100011", B"11000111", B"11010011", B"11001110", B"00010101",
1445
 B"11010110", B"00100001", B"00110101", B"11101101", B"00001110",
1446
 B"00100101", B"00000100", B"11000111", B"00011101", B"00011011",
1447
 B"00111001", B"11000111", B"00100000", B"11100111", B"00100011",
1448
 B"11101001", B"11101101", B"00110011", B"11001100", B"00001110",
1449
 B"11000110", B"11111000", B"00001010", B"11111000", B"11011010",
1450
 B"00100000", B"00100011", B"00010111", B"00111001", B"11001100",
1451
 B"11110010", B"00011110", B"00011011", B"00101000", B"00101011",
1452
 B"00010100", B"00010111", B"00101101", B"00100110", B"11010010",
1453
 B"11100000", B"00010101", B"11000010", B"01000000", B"11001000",
1454
 B"11000110", B"00100111", B"11000111", B"00001000", B"11010110",
1455
 B"11111000", B"00101011", B"00110100", B"00101010", B"00000110",
1456
 B"11000011", B"00010000", B"00000010", B"11000010", B"11010100",
1457
 B"00011100", B"00111000", B"10111110", B"11101010", B"00011011",
1458
 B"11110000", B"00000110", B"11110000", B"00111100", B"00101101",
1459
 B"11101011", B"11101000", B"11101000", B"11110001", B"00001010",
1460
 B"00101011", B"00001101", B"00011110", B"11011101", B"00000001",
1461
 B"11100111", B"11110001", B"00011110", B"00100100", B"00011000",
1462
 B"11010101", B"11100101", B"00011001", B"00000000", B"11011100",
1463
 B"00100111", B"00110000", B"11100000", B"11100010", B"00010001",
1464
 B"00111011", B"11101101", B"11010101", B"11100010", B"11010111",
1465
 B"00010001", B"10110000", B"00010010", B"00010011", B"00110010",
1466
 B"00101111", B"00010111", B"00011010", B"00010110", B"00011011",
1467
 B"00011110", B"00101100", B"00100010", B"00101010", B"00110010",
1468
 B"00101101", B"00110110", B"00001001", B"00110001", B"11011111",
1469
 B"11010010", B"00100000", B"11010100", B"01000100", B"11100001",
1470
 B"11010011", B"00110010", B"11010101", B"11011110", B"00110101",
1471
 B"11100011", B"00010111", B"11010010", B"11100000", B"00010000",
1472
 B"00001010", B"00100010", B"11110010", B"01000100", B"00001001",
1473
 B"11011001", B"11110110", B"00100011", B"00011001", B"00101010",
1474
 B"00001000", B"00100000", B"00011100", B"00010101", B"00101010",
1475
 B"00100100", B"11010101", B"00011000", B"00011010", B"00110001",
1476
 B"11110011", B"00001101", B"11001011", B"00110100", B"00111110",
1477
 B"11100000", B"00111100", B"00110000", B"11011100", B"00011000",
1478
 B"00101000", B"11110010", B"11010111", B"00111000", B"00100100",
1479
 B"11010101", B"11001110", B"11011111", B"11000110", B"00000001",
1480
 B"11101100", B"00001110", B"00010111", B"11011101", B"11110101",
1481
 B"11100011", B"11101111", B"11001010", B"11110001", B"11011001",
1482
 B"11100010", B"11110101", B"00100011", B"00011101", B"00011111",
1483
 B"00101000", B"11100100", B"00001100", B"00011101", B"11011101",
1484
 B"11100001", B"11000010", B"11101011", B"11100111", B"00011110",
1485
 B"00100011", B"00000110", B"11000010", B"00011101", B"11100100",
1486
 B"00011101", B"11011110", B"11011100", B"11101001", B"11011011",
1487
 B"00101010", B"00011111", B"11000111", B"00101110", B"11111001",
1488
 B"11000000", B"11010010", B"11100111", B"00000100", B"00111000",
1489
 B"11011101", B"00010111", B"11010011", B"11011000", B"11000111",
1490
 B"11010101", B"11100011", B"00100111", B"00010101", B"00011100",
1491
 B"00101001", B"01000001", B"11100000", B"10110100", B"11011111",
1492
 B"11101010", B"00110011", B"11011111", B"00011101", B"11000000",
1493
 B"00110100", B"11110000", B"11101001", B"11100001", B"11010100",
1494
 B"00011000", B"00110000", B"00110000", B"00101100", B"00001000",
1495
 B"00101101", B"00100100", B"00101010", B"00000111", B"00100011",
1496
 B"11110000", B"11001000", B"00100001", B"00110111", B"00000000",
1497
 B"00101101", B"11101001", B"11100011", B"00010111", B"11100010",
1498
 B"11100110", B"11100111", B"11100010", B"00100011", B"11101000",
1499
 B"01001010", B"11110001", B"11011100", B"11001010", B"00011000",
1500
 B"00101011", B"11110110", B"00100101", B"11010101", B"11100011",
1501
 B"00011001", B"11001111", B"00010010", B"11010101", B"11110100",
1502
 B"00101101", B"00101101", B"00100001", B"11011111", B"00100100",
1503
 B"11110100", B"11100010", B"00100000", B"00011011", B"11101101",
1504
 B"00110000", B"11010010", B"00001101", B"00110011", B"11000000",
1505
 B"00011010", B"00110110", B"11100010", B"01000010", B"00110010",
1506
 B"11101000", B"00100111", B"11101111", B"11110111", B"11001000",
1507
 B"00010011", B"11100111", B"11001110", B"00110010", B"00000011",
1508
 B"00011000", B"11011110", B"11001001", B"00100001", B"00100010",
1509
 B"00011110", B"00010010", B"00100101", B"00011101", B"00111001",
1510
 B"00001100", B"00010111", B"11010010", B"11000110", B"00010000",
1511
 B"00100011", B"00101011", B"00000010", B"11010010", B"10111100",
1512
 B"00100110", B"00001010", B"11101111", B"11010001", B"00010011",
1513
 B"00101110", B"00001001", B"11101000", B"11011000", B"11100011",
1514
 B"00100101", B"00001100", B"00010111", B"00111010", B"11110111",
1515
 B"11010110", B"01000111", B"00100100", B"11001011", B"11111001",
1516
 B"00100000", B"00100001", B"11010111", B"11101000", B"00001011",
1517
 B"00011010", B"11000101", B"00001111", B"00001101", B"11100101",
1518
 B"11011111", B"00110001", B"11101101", B"00010001", B"00100111",
1519
 B"11101111", B"11011100", B"11100010", B"11010110", B"00000011",
1520
 B"00010100", B"00100101", B"11100111", B"00011010", B"00011100",
1521
 B"11110110", B"11010101", B"00011110", B"11110110", B"00100011",
1522
 B"11111101", B"11100110", B"11101010", B"00101101", B"00100000",
1523
 B"11100001", B"00010001", B"11001101", B"11011011", B"00011101",
1524
 B"11101001", B"11000001", B"11101001", B"11000010", B"10110100",
1525
 B"00011000", B"11011011", B"00011001", B"11100100", B"11100001",
1526
 B"00010001", B"00110110", B"11110001", B"00100100", B"11011011",
1527
 B"11110001", B"11010001", B"00101010", B"00011010", B"11010100",
1528
 B"11100011", B"11011100", B"11110010", B"00001110", B"00010100",
1529
 B"11101011", B"00010111", B"11011101", B"00011010", B"00010110",
1530
 B"00100110", B"10111010", B"00010110", B"11010110", B"00110000",
1531
 B"11101100", B"00110000", B"11110110", B"10110110", B"00110000",
1532
 B"11010100", B"11100101", B"11100001", B"11010010", B"11011101",
1533
 B"00001011", B"00100010", B"11001011", B"11110000", B"10111100",
1534
 B"11011010", B"11011110", B"00011001", B"00001010", B"00100110",
1535
 B"00010011", B"00100100", B"00000101", B"00100001", B"00010001",
1536
 B"11000101", B"11100000", B"11110100", B"11011101", B"00000111",
1537
 B"00010000", B"00100011", B"00001001", B"00101111", B"11011111",
1538
 B"00110011", B"00110010", B"11011110", B"00010111", B"00110011",
1539
 B"11100111", B"00100111", B"11100011", B"00011101", B"00011100",
1540
 B"11110000", B"00111010", B"00100100", B"11101111", B"00011001",
1541
 B"00010010", B"11100111", B"11110100", B"00001000", B"00101010",
1542
 B"11010101", B"11100000", B"11010011", B"11001001", B"00010111",
1543
 B"11110010", B"00100111", B"11100001", B"11100011", B"00000100",
1544
 B"00011000", B"11011001", B"11100010", B"00001101", B"00010011",
1545
 B"11110010", B"11101101", B"11011001", B"11010110", B"10110011",
1546
 B"00101011", B"11001111", B"00101110", B"11110001", B"11100111",
1547
 B"00011100", B"00010010", B"11100010", B"00100001", B"11110110",
1548
 B"11110101", B"11101101", B"00100010", B"00101100", B"00011111",
1549
 B"11111110", B"00110110", B"11011000", B"00100101", B"11010111",
1550
 B"00111100", B"00000110", B"11100010", B"11100110", B"00011010",
1551
 B"11111100", B"00100011", B"00001111", B"11011010", B"11011001",
1552
 B"11100100", B"11110001", B"00010011", B"11100111", B"00010010",
1553
 B"11011001", B"11111100", B"01010111", B"00011111", B"11100101",
1554
 B"00100011", B"00111011", B"11100000", B"00010011", B"00101011",
1555
 B"11101010", B"00010110", B"00011001", B"00001101", B"11010100",
1556
 B"00101110", B"11101000", B"00100110", B"11000110", B"11001110",
1557
 B"11011010", B"11100000", B"00011111", B"00011111", B"11101001",
1558
 B"00010111", B"11101110", B"00010001", B"00010011", B"11011101",
1559
 B"11101000", B"00010110", B"00100010", B"11010011", B"11001101",
1560
 B"11101011", B"11010010", B"00101100", B"11100000", B"00011000",
1561
 B"11100010", B"10111111", B"00101100", B"11100110", B"11010101",
1562
 B"01001111", B"11000101", B"00101101", B"11010011", B"11100100",
1563
 B"00010000", B"00000110", B"00011011", B"00100101", B"00110101",
1564
 B"00100100", B"00010101", B"00010011", B"00111100", B"11011000",
1565
 B"11101000", B"11000010", B"00010111", B"00101010", B"11010111",
1566
 B"00001110", B"10111000", B"00100101", B"00110000", B"11001011",
1567
 B"00010001", B"00010010", B"10110100", B"11011111", B"00010010",
1568
 B"11101010", B"00011111", B"11111101", B"11010010", B"11100101",
1569
 B"11001111", B"11000011", B"11100110", B"00100000", B"11101100",
1570
 B"11110100", B"00011101", B"11100011", B"11100011", B"11100111",
1571
 B"11100100", B"11011000", B"00011110", B"00100011", B"00001111",
1572
 B"11101101", B"00010101", B"11011110", B"00100100", B"00011001",
1573
 B"00010111", B"11111100", B"00010101", B"00100101", B"00011100",
1574
 B"00100010", B"00001000", B"11101111", B"00011001", B"00010100",
1575
 B"00101010", B"11110000", B"00001010", B"11110000", B"00011100",
1576
 B"11000100", B"01010011", B"11010100", B"00110011", B"11110000",
1577
 B"11011110", B"00010111", B"01000010", B"11011000", B"00100100",
1578
 B"11101000", B"11011000", B"11011110", B"00111111", B"00011110",
1579
 B"11010101", B"11010001", B"01001011", B"00011100", B"00101010",
1580
 B"11001111", B"00111101", B"11010100", B"00011101", B"00010110",
1581
 B"11101100", B"11100101", B"00011010", B"11100101", B"11011100",
1582
 B"11100010", B"11000110", B"00011110", B"00100110", B"11101111",
1583
 B"00101001", B"00100000", B"11110000", B"11011100", B"00010001",
1584
 B"11001100", B"00111010", B"11100010", B"00000000", B"11011010",
1585
 B"11011011", B"00100010", B"00010000", B"11011000", B"11100001",
1586
 B"11111011", B"11011001", B"00110111", B"00100011", B"00100010",
1587
 B"00101110", B"11001001", B"00011110", B"00100110", B"00100000",
1588
 B"11010011", B"00101110", B"11100100", B"00010001", B"00011010",
1589
 B"00111011", B"11100111", B"11000100", B"00101010", B"00101000",
1590
 B"11100111", B"11011001", B"11101011", B"00101100", B"11011000",
1591
 B"00100100", B"11101110", B"11110101", B"00111000", B"00001100",
1592
 B"00010001", B"00001001", B"00101101", B"00100010", B"00110111",
1593
 B"00111101", B"00110010", B"00010100", B"00101010", B"00101100",
1594
 B"11011111", B"00100101", B"00101110", B"11110100", B"11010010",
1595
 B"00101000", B"11101101", B"00011010", B"11101100", B"11101011",
1596
 B"11101011", B"00101000", B"00111001", B"11010111", B"11001111",
1597
 B"11101000", B"11011010", B"00111110", B"00000111", B"11011000",
1598
 B"00010010", B"11011001", B"11011001", B"00011110", B"00100001",
1599
 B"00010001", B"11010110", B"00001100", B"11000110", B"00111000",
1600
 B"00011100", B"00000111", B"11001100", B"11001101", B"00110110",
1601
 B"00101000", B"11100000", B"11000011", B"00100111", B"00000111",
1602
 B"00011001", B"00110010", B"11101100", B"00101111", B"00100001",
1603
 B"11111001", B"00011100", B"11100000", B"00101010", B"11011100",
1604
 B"11100110", B"11010011", B"00100000", B"00100101", B"10111101",
1605
 B"00100110", B"00010101", B"11100100", B"11100001", B"11011010",
1606
 B"00000011", B"11010001", B"00100110", B"11010001", B"00011101",
1607
 B"00100111", B"11100011", B"00110000", B"00001000", B"11101100",
1608
 B"00001001", B"10111110", B"11011000", B"11100111", B"11010011",
1609
 B"00101000", B"11001011", B"00111001", B"11101011", B"11011011",
1610
 B"11001001", B"11111010", B"00101001", B"11010010", B"00000000",
1611
 B"11000110", B"00011111", B"11010000", B"11011010", B"11001111",
1612
 B"11011010", B"00100000", B"10111110", B"00001011", B"00010000",
1613
 B"00100000", B"11010111", B"11101110", B"00001111", B"00010001",
1614
 B"11100011", B"11010111", B"00010000", B"11110101", B"11101010",
1615
 B"11101010", B"11010101", B"00001110", B"11110000", B"00110101",
1616
 B"00010011", B"11101100", B"00011110", B"00011110", B"11111000",
1617
 B"00011001", B"00101100", B"11011110", B"11010111", B"00000010",
1618
 B"00101010", B"00110101", B"00010101", B"00000100", B"11101010",
1619
 B"11100111", B"11011000", B"00011111", B"11100100", B"00100100",
1620
 B"11001101", B"11111011", B"00011010", B"00011101", B"00000000",
1621
 B"11010000", B"11101001", B"00110100", B"11001011", B"11000011",
1622
 B"11011111", B"11100001", B"11010111", B"00110101", B"11101110",
1623
 B"00000000", B"11101000", B"00011101", B"00011011", B"11001101",
1624
 B"11001100", B"11100001", B"11010100", B"11101011", B"00110010",
1625
 B"00100111", B"00011000", B"00101010", B"11100110", B"11110110",
1626
 B"11101000", B"00011000", B"00011001", B"11100000", B"00011001",
1627
 B"11010111", B"11101001", B"00101110", B"11001101", B"11011010",
1628
 B"11100111", B"00101010", B"00101000", B"11011011", B"00001000",
1629
 B"11001100", B"11110101", B"11010110", B"11101100", B"00010001",
1630
 B"11100111", B"00001011", B"11111010", B"11110000", B"11111110",
1631
 B"00100111", B"00100010", B"11100010", B"00010111", B"11011001",
1632
 B"00100100", B"00100100", B"11011010", B"11100100", B"00010111",
1633
 B"00101010", B"11100011", B"11110110", B"00101011", B"00010110",
1634
 B"11100001", B"11100100", B"00001101", B"00110100", B"11101011",
1635
 B"11110000", B"00010111", B"00010001", B"11010000", B"11011101",
1636
 B"00100101", B"00010010", B"11010110", B"11110110", B"00100001",
1637
 B"00100111", B"00010100", B"11011101", B"00101001", B"11011101",
1638
 B"00110001", B"11010000", B"00111111", B"11111010", B"11100000",
1639
 B"00011100", B"11101011", B"11010011", B"11110000", B"11100011",
1640
 B"11010101", B"11111100", B"10111010", B"00011101", B"00101000",
1641
 B"11000111", B"00100100", B"11110000", B"11100100", B"00001100",
1642
 B"00101110", B"00110111", B"11000111", B"00000010", B"11010100",
1643
 B"00011110", B"00100111", B"00010011", B"00010000", B"00101100",
1644
 B"00011011", B"00011111", B"01001111", B"00010110", B"11010100",
1645
 B"11010001", B"00101100", B"00001110", B"00011000", B"00011101",
1646
 B"11101100", B"11010110", B"00010001", B"00101011", B"00011101",
1647
 B"11100010", B"00011100", B"11101011", B"00011100", B"11010101",
1648
 B"00100110", B"11011011", B"00011101", B"00101001", B"11001001",
1649
 B"00001110", B"00011111", B"11001110", B"00001010", B"00011101",
1650
 B"00001011", B"11100011", B"00100011", B"11010100", B"00001111",
1651
 B"11011010", B"00010001", B"00101111", B"11001110", B"00011101",
1652
 B"00011010", B"11000100", B"11011100", B"00010000", B"11011001",
1653
 B"00110001", B"00101111", B"11101011", B"11110110", B"11101111",
1654
 B"11011110", B"11100110", B"00011101", B"00011000", B"11011000",
1655
 B"11110110", B"00110010", B"00001110", B"11110000", B"11011100",
1656
 B"00010111", B"11011011", B"00111110", B"00100111", B"11100010",
1657
 B"00001110", B"00011111", B"11011001", B"00001110", B"00111010",
1658
 B"11011000", B"11110010", B"00000101", B"00011010", B"11011111",
1659
 B"11100000", B"00010001", B"00000101", B"11001010", B"11010101",
1660
 B"00000111", B"00100011", B"11001001", B"11101100", B"00101001",
1661
 B"11110001", B"11100001", B"11011011", B"11010000", B"00101000",
1662
 B"11100110", B"01001010", B"11011101", B"00011001", B"00110100",
1663
 B"11101110", B"00010100", B"11001111", B"11101010", B"11011110",
1664
 B"11100111", B"11110111", B"11011001", B"11011010", B"00010111",
1665
 B"00101100", B"00010001", B"00101110", B"11110110", B"11011100",
1666
 B"00101001", B"11010100", B"00001100", B"11010011", B"11101010",
1667
 B"00110101", B"00111101", B"00110001", B"00001100", B"00101011",
1668
 B"00011110", B"00000100", B"00100010", B"00101001", B"00011110",
1669
 B"00011001", B"00011110", B"00001100", B"00100110", B"00011110",
1670
 B"11111111", B"00100000", B"11101010", B"00011111", B"11101111",
1671
 B"00001100", B"11001001", B"11101000", B"11110111", B"00100111",
1672
 B"00101110", B"00010000", B"00100100", B"00011001", B"00010101",
1673
 B"00010001", B"00011010", B"00110100", B"00011000", B"11110101",
1674
 B"11011001", B"00111000", B"11101101", B"11101001", B"11010011",
1675
 B"11010001", B"00000001", B"11110001", B"11011101", B"01001000",
1676
 B"11010110", B"11100100", B"11100110", B"11100100", B"00011111",
1677
 B"00111101", B"00101000", B"11011110", B"00011011", B"11011001",
1678
 B"00011011", B"11010000", B"11010000", B"11111001", B"00101111",
1679
 B"00100111", B"00010100", B"00010110", B"11011011", B"11100111",
1680
 B"11010101", B"11011001", B"11100000", B"11011101", B"00001010",
1681
 B"00011101", B"00010010", B"00101001", B"11101110", B"11110011",
1682
 B"00010001", B"00101110", B"00010111", B"00010110", B"11100101",
1683
 B"11101001", B"00110001", B"00010111", B"00010110", B"00011101",
1684
 B"00011001", B"00100110", B"00100101", B"00001011", B"11000111",
1685
 B"00001111", B"00100011", B"11100000", B"11110000", B"10111100",
1686
 B"11100010", B"11101000", B"11011100", B"11100100", B"00100001",
1687
 B"11101000", B"00101100", B"11000000", B"11001111", B"00100011",
1688
 B"00100010", B"00010011", B"00110110", B"11110111", B"00011001",
1689
 B"11011100", B"00100000", B"11100001", B"11001100", B"00100011",
1690
 B"00111110", B"11010111", B"11111101", B"11101000", B"11100101",
1691
 B"11100110", B"00010010", B"11011010", B"00101000", B"10111001",
1692
 B"11100010", B"11100000", B"00100001", B"00010010", B"00100101",
1693
 B"11011001", B"00111011", B"11011111", B"11011100", B"11100111",
1694
 B"00011110", B"00101100", B"00010111", B"11100111", B"00010110",
1695
 B"11110000", B"11011110", B"11011100", B"00100001", B"00011110",
1696
 B"11011110", B"00011110", B"00100100", B"00010101", B"11010111",
1697
 B"00101101", B"11101100", B"00101000", B"00101101", B"11011011",
1698
 B"00010110", B"11011011", B"11011001", B"11101011", B"11111000",
1699
 B"00100000", B"00100100", B"00011000", B"00110000", B"11011000",
1700
 B"00011101", B"11000000", B"00100111", B"11011110", B"11001111",
1701
 B"11101111", B"00101001", B"00111110", B"00010010", B"00110101",
1702
 B"11111110", B"11100000", B"11011110", B"11001110", B"11110010",
1703
 B"11011111", B"00111100", B"00010000", B"00001111", B"00001111",
1704
 B"10111111", B"11000100", B"11110101", B"11101010", B"00010110",
1705
 B"00110110", B"00100011", B"00100101", B"11010100", B"00100100",
1706
 B"00001011", B"00010111", B"11010011", B"00100100", B"11111001",
1707
 B"00101011", B"00000010", B"11011111", B"11110010", B"00100100",
1708
 B"00110001", B"11011100", B"00011100", B"10111111", B"11011100",
1709
 B"00101111", B"00011000", B"00100001", B"11110010", B"00010010",
1710
 B"11101111", B"11101101", B"00100001", B"11100000", B"00011010",
1711
 B"11100100", B"11011011", B"11010110", B"00001100", B"00000100",
1712
 B"00101101", B"00100110", B"11011010", B"11001011", B"00001010",
1713
 B"00001110", B"11100011", B"11001110", B"11011010", B"11011111",
1714
 B"11100011", B"11101010", B"00010100", B"00101001", B"00010111",
1715
 B"00001111", B"00001101", B"11010110", B"11011110", B"00011010",
1716
 B"11101100", B"11000011", B"11010101", B"11101000", B"11011101",
1717
 B"00100001", B"00101010", B"11110101", B"11011110", B"11011110",
1718
 B"11100000", B"11001100", B"11010000", B"11111011", B"11110101",
1719
 B"00101001", B"11011011", B"11100110", B"00111011", B"00001110",
1720
 B"00001001", B"00011100", B"11010100", B"11010010", B"00100011",
1721
 B"00110001", B"11110010", B"11011100", B"11011010", B"11011010",
1722
 B"11111010", B"11011101", B"00011100", B"11000101", B"11010010",
1723
 B"00010010", B"00000001", B"00100001", B"11010111", B"11001010",
1724
 B"11001110", B"00010010", B"00010001", B"11101101", B"00010111",
1725
 B"00100010", B"11100010", B"00001010", B"00110100", B"11110101",
1726
 B"11111000", B"00100100", B"10111111", B"00000001", B"00100111",
1727
 B"00011100", B"00010110", B"00101111", B"11110001", B"11011011",
1728
 B"00100101", B"00011111", B"00011001", B"00110111", B"00100111",
1729
 B"00100010", B"00011101", B"00100001", B"00101010", B"11001001",
1730
 B"00100001", B"11100000", B"11100111", B"11010000", B"00010011",
1731
 B"00010001", B"00011010", B"00011001", B"00100010", B"00100010",
1732
 B"00100100", B"00011111", B"00110010", B"00100101", B"00011111",
1733
 B"00100100", B"11101100", B"11101011", B"01000001", B"00011101",
1734
 B"11101100", B"11011111", B"00001001", B"11010001", B"11011110",
1735
 B"11111110", B"00110001", B"11001000", B"00010111", B"11011110",
1736
 B"11110011", B"00101011", B"00001110", B"11100000", B"11010101",
1737
 B"11110110", B"11011110", B"11000000", B"00010001", B"11100010",
1738
 B"11011001", B"11011100", B"11110110", B"00100000", B"11100001",
1739
 B"00001110", B"00111111", B"11110110", B"11000000", B"00001100",
1740
 B"11100110", B"11110001", B"11011000", B"11101111", B"00101101",
1741
 B"11100010", B"11111011", B"00100011", B"11100001", B"11011001",
1742
 B"11010001", B"11110011", B"00100101", B"00111001", B"11100101",
1743
 B"11100001", B"00011100", B"00110001", B"11111000", B"11101101",
1744
 B"11001100", B"00001111", B"11000111", B"00101100", B"11110001",
1745
 B"11100011", B"00011000", B"00001001", B"00100111", B"00010011",
1746
 B"00010111", B"00001011", B"00011101", B"00100010", B"00011100",
1747
 B"00010001", B"11011011", B"00101010", B"00100011", B"11111001",
1748
 B"11001001", B"00011000", B"11010011", B"00101111", B"00001100",
1749
 B"11100001", B"11110001", B"00000000", B"11101110", B"00101001",
1750
 B"11011011", B"00101010", B"00001010", B"11110000", B"11011101",
1751
 B"11101000", B"11011111", B"00100101", B"11101000", B"00100110",
1752
 B"11010011", B"11011010", B"11101000", B"11101001", B"00100100",
1753
 B"11000000", B"10110110", B"00011100", B"11110110", B"00010100",
1754
 B"00101011", B"10111100", B"11111000", B"11100011", B"11001110",
1755
 B"11101111", B"00001101", B"00010000", B"00100011", B"11110000",
1756
 B"00011011", B"11101110", B"00100011", B"11010100", B"11011101",
1757
 B"00110111", B"11100010", B"11110000", B"11011000", B"00010011",
1758
 B"00110110", B"10110110", B"11011010", B"11000110", B"00111011",
1759
 B"00011000", B"00100110", B"00010111", B"11001111", B"11011100",
1760
 B"11101011", B"11000110", B"00100011", B"11000101", B"00101110",
1761
 B"11010001", B"11111101", B"00011000", B"00010001", B"11010110",
1762
 B"11011100", B"00101100", B"11111010", B"11010111", B"10111101",
1763
 B"11100110", B"11101011", B"11100110", B"00011011", B"11011010",
1764
 B"00111010", B"11001001", B"11011001", B"00100001", B"00100101",
1765
 B"00001111", B"11001111", B"00011111", B"00100010", B"11101000",
1766
 B"11100111", B"00011100", B"11010011", B"00011010", B"11011111",
1767
 B"00100010", B"11100010", B"11010101", B"00010011", B"00001000",
1768
 B"00010111", B"00111000", B"00100100", B"11110000", B"00100011",
1769
 B"11101001", B"00010010", B"11011010", B"00011010", B"00000011",
1770
 B"00001010", B"11100011", B"00101011", B"11100110", B"00010001",
1771
 B"11100101", B"00000010", B"11011000", B"11101111", B"11011111",
1772
 B"11100100", B"00011001", B"11011101", B"00100110", B"00001011",
1773
 B"00111001", B"00111101", B"11100100", B"00011011", B"11101111",
1774
 B"00011010", B"11110011", B"11001011", B"00010000", B"11100110",
1775
 B"11010001", B"11100110", B"00000000", B"00010110", B"11011010",
1776
 B"11001011", B"11101000", B"00100010", B"00111110", B"00100111",
1777
 B"00011100", B"11011101", B"11100010", B"11011000", B"11101001",
1778
 B"00011110", B"11010010", B"00100101", B"11111011", B"11011111",
1779
 B"00111000", B"00011111", B"00011001", B"11010111", B"00011101",
1780
 B"00011110", B"11010011", B"11011011", B"00111101", B"00110100",
1781
 B"00010111", B"00000101", B"11100011", B"00101111", B"11011010",
1782
 B"00100011", B"11011110", B"00010011", B"11100110", B"11010011",
1783
 B"00001010", B"11011110", B"11101110", B"11011101", B"11101000",
1784
 B"11101011", B"11101010", B"00110000", B"11111100", B"00100011",
1785
 B"11101001", B"11101111", B"00010000", B"11100101", B"11110011",
1786
 B"11010110", B"11111100", B"00100001", B"00011010", B"00010001",
1787
 B"00101001", B"00100110", B"00000110", B"00010110", B"11110100",
1788
 B"00011001", B"11010001", B"00010011", B"11110100", B"00100001",
1789
 B"00101010", B"11001011", B"11010010", B"00100001", B"00100101",
1790
 B"11001110", B"11101000", B"00000110", B"00011001", B"00111010",
1791
 B"11110111", B"00110001", B"11101110", B"00100000", B"11010101",
1792
 B"11110110", B"00101101", B"11111000", B"00101011", B"11011011",
1793
 B"11010101", B"00100001", B"00100011", B"01001001", B"11101000",
1794
 B"00100000", B"00001101", B"11010100", B"00011001", B"00101011",
1795
 B"11111100", B"00011000", B"00101111", B"00101111", B"11010111",
1796
 B"00000011", B"11111100", B"00100000", B"11110111", B"11110010",
1797
 B"11010000", B"11011011", B"11100111", B"11110110", B"00001010",
1798
 B"00010010", B"00101101", B"00111000", B"00001101", B"11101010",
1799
 B"11101101", B"00011000", B"00100001", B"11101110", B"11001010",
1800
 B"11010101", B"00100111", B"11010111", B"00101100", B"11001111",
1801
 B"11101110", B"00011111", B"00100111", B"11010011", B"00100110",
1802
 B"11100000", B"11101011", B"11100100", B"00010011", B"00110000",
1803
 B"11011011", B"11001111", B"00110111", B"00001101", B"00010110",
1804
 B"11100101", B"00110010", B"11110011", B"00011001", B"10111010",
1805
 B"11100100", B"00100000", B"11100011", B"00011101", B"11010100",
1806
 B"11100011", B"00110000", B"00011001", B"00000011", B"11110000",
1807
 B"11111101", B"00110011", B"00100110", B"11100111", B"11100100",
1808
 B"00111101", B"00010101", B"11011111", B"00101011", B"00011001",
1809
 B"11100010", B"11010110", B"00101101", B"00011111", B"00100000",
1810
 B"00101111", B"11100010", B"00100000", B"11001011", B"00010010",
1811
 B"11100111", B"10111100", B"11010110", B"11010101", B"00010100",
1812
 B"00011100", B"11010001", B"00110100", B"11011011", B"00100011",
1813
 B"11010100", B"00011111", B"11011110", B"11010101", B"11111001",
1814
 B"00011111", B"00100101", B"11100110", B"11000010", B"11110010",
1815
 B"11010010", B"00011101", B"00011100", B"00011010", B"00110001",
1816
 B"00000110", B"11100110", B"00011010", B"11111101", B"11011100",
1817
 B"00000000", B"00101000", B"00100011", B"11100101", B"00010101",
1818
 B"00100011", B"00101110", B"11011100", B"00110101", B"11100110",
1819
 B"00100111", B"11011000", B"00100101", B"00101100", B"11101110",
1820
 B"00000110", B"11101011", B"11010100", B"11011010", B"00010001",
1821
 B"11100010", B"00100011", B"11100110", B"11100100", B"11011000",
1822
 B"00100110", B"00001010", B"11101010", B"00011001", B"11100001",
1823
 B"11011000", B"11001101", B"00010000", B"00110000", B"11101011",
1824
 B"00110010", B"11001001", B"11011011", B"11100001", B"11101010",
1825
 B"00100111", B"11010000", B"00100010", B"11010101", B"11110001",
1826
 B"11011110", B"11011101", B"00011001", B"00111110", B"00010000",
1827
 B"00101100", B"00001111", B"00110100", B"00010000", B"11101011",
1828
 B"00100100", B"11011011", B"00000110", B"11010011", B"01001011",
1829
 B"11101011", B"00100000", B"00001111", B"11101010", B"00101100",
1830
 B"00100010", B"11111011", B"11011111", B"11100110", B"11100001",
1831
 B"11000001", B"00110111", B"00011111", B"00101001", B"00101110",
1832
 B"11101100", B"00110100", B"00100110", B"00010000", B"11101011",
1833
 B"00001001", B"11011101", B"00011010", B"11110000", B"00011101",
1834
 B"00011100", B"00000100", B"11100101", B"00011010", B"11101011",
1835
 B"00010010", B"00010011", B"11011111", B"11010100", B"11001001",
1836
 B"11011010", B"00100011", B"11010100", B"00101010", B"00111011",
1837
 B"11001111", B"00000011", B"11010110", B"11001110", B"11010100",
1838
 B"00010010", B"00101001", B"00101110", B"00000001", B"11101111",
1839
 B"11011101", B"00101101", B"00001111", B"11110101", B"11101001",
1840
 B"01000011", B"00010010", B"00101010", B"10110100", B"00011100",
1841
 B"11011110", B"00100110", B"11001111", B"00001111", B"00001001",
1842
 B"00011011", B"01001100", B"00101000", B"00011010", B"01001111",
1843
 B"00010101", B"00001010", B"11100111", B"00110010", B"11000111",
1844
 B"11101111", B"11010011", B"11111011", B"00011010", B"11101101",
1845
 B"11011111", B"00101010", B"11001100", B"00011010", B"11100100",
1846
 B"11011000", B"00011111", B"11100101", B"11011111", B"11011011",
1847
 B"00001101", B"00001000", B"11111000", B"00110011", B"11001011",
1848
 B"00110101", B"00011100", B"00101010", B"11100101", B"00001001",
1849
 B"11100011", B"00000010", B"11001111", B"00011010", B"11011000",
1850
 B"11101111", B"00010101", B"11100011", B"11110101", B"11010001",
1851
 B"11010010", B"11010011", B"00001010", B"11010000", B"11000010",
1852
 B"11000101", B"00001111", B"00001001", B"11110010", B"00011111",
1853
 B"11011001", B"11100000", B"00110100", B"11100000", B"11100111",
1854
 B"11010010", B"11010000", B"00101011", B"11101101", B"00001100",
1855
 B"00011110", B"00100001", B"00110000", B"00100101", B"00011111",
1856
 B"11011010", B"11100011", B"00000110", B"00110110", B"00100011",
1857
 B"00011001", B"11110100", B"00000101", B"00001111", B"00011101",
1858
 B"00010111", B"11000101", B"00101000", B"11000100", B"00100111",
1859
 B"11001010", B"11110000", B"11011001", B"00010100", B"00011101",
1860
 B"00000110", B"00110010", B"11011100", B"11010111", B"11001111",
1861
 B"00000000", B"00010101", B"11110000", B"00110110", B"11100001",
1862
 B"11000010", B"00000110", B"11100100", B"11100000", B"11100000",
1863
 B"11110111", B"00111001", B"00010001", B"00011010", B"00110111",
1864
 B"00101011", B"11101010", B"11100001", B"11001100", B"11011010",
1865
 B"00100010", B"11011010", B"00110111", B"00100111", B"00101001",
1866
 B"00010101", B"11011000", B"00010111", B"11011110", B"00011111",
1867
 B"11100010", B"00101011", B"11100101", B"00100001", B"00011000",
1868
 B"11011011", B"00100110", B"00000010", B"11011000", B"00110001",
1869
 B"11101101", B"00111111", B"00110001", B"11100011", B"00111111",
1870
 B"00100011", B"11001001", B"11101011", B"11011100", B"00100110",
1871
 B"00000111", B"00010010", B"00010000", B"11101111", B"11100111",
1872
 B"11101010", B"11101001", B"00011000", B"00100101", B"00100000",
1873
 B"00100001", B"11010001", B"11011111", B"11111000", B"11100000",
1874
 B"01000010", B"11011000", B"00100110", B"11100100", B"11100000",
1875
 B"00010110", B"11110010", B"00110000", B"11000111", B"00100101",
1876
 B"11101101", B"11111100", B"00100011", B"00101010", B"11100010",
1877
 B"00101010", B"11110100", B"11010101", B"11101011", B"00110100",
1878
 B"00011111", B"11101111", B"11101111", B"00010010", B"11110101",
1879
 B"00011110", B"11111010", B"11001101", B"00110001", B"00000100",
1880
 B"11010111", B"00010000", B"11011000", B"11011100", B"11101100",
1881
 B"00010111", B"01001000", B"11110111", B"11000101", B"11000010",
1882
 B"00101000", B"11100011", B"00000110", B"11010111", B"11110000",
1883
 B"00011111", B"11110100", B"11110001", B"11111110", B"00010101",
1884
 B"11110001", B"00011011", B"00010100", B"11111110", B"00000111",
1885
 B"11100111", B"00001010", B"00110100", B"11101101", B"00101011",
1886
 B"00101101", B"11101010", B"00010000", B"00110010", B"11110001",
1887
 B"11000111", B"00001010", B"00001000", B"11110111", B"11100001",
1888
 B"11010101", B"10111101", B"11111100", B"11101000", B"00011000",
1889
 B"00101100", B"00100110", B"00001110", B"11011101", B"00011110",
1890
 B"11100001", B"00001110", B"11010111", B"11000000", B"00101001",
1891
 B"00100010", B"00100101", B"11100000", B"11110001", B"00100110",
1892
 B"11101010", B"11100000", B"11100101", B"11101100", B"11111000",
1893
 B"11111011", B"00010110", B"11101000", B"11011010", B"11100101",
1894
 B"11010010", B"11011011", B"00000000", B"10011101", B"11010100",
1895
 B"11011011", B"00011000", B"00110101", B"00110001", B"00011101",
1896
 B"00110011", B"11011010", B"11101010", B"00011101", B"11011001",
1897
 B"11001100", B"11101100", B"11011001", B"00010100", B"11010001",
1898
 B"11101010", B"00001010", B"11010100", B"11100101", B"11101000",
1899
 B"11011100", B"00011101", B"00010111", B"00110101", B"00100010",
1900
 B"00001111", B"00011000", B"00100110", B"00001100", B"11111011",
1901
 B"00010110", B"11100010", B"00101101", B"11111101", B"11010000",
1902
 B"00010111", B"00011110", B"00110001", B"11010011", B"11011111",
1903
 B"11011010", B"11100011", B"00100111", B"11011100", B"00101011",
1904
 B"00000110", B"10110110", B"11110000", B"10111100", B"11111000",
1905
 B"00011011", B"11011000", B"00101010", B"00010110", B"00100001",
1906
 B"11001101", B"11010010", B"00011010", B"00011111", B"11101011",
1907
 B"11110001", B"00010110", B"11011001", B"00011000", B"10111110",
1908
 B"11101011", B"11011001", B"00100110", B"00010101", B"11101000",
1909
 B"00100111", B"00011110", B"11011010", B"11100110", B"11001000",
1910
 B"11001111", B"11110001", B"00111001", B"00100110", B"00100010",
1911
 B"00100111", B"11110100", B"01001110", B"00011111", B"00011111",
1912
 B"00100000", B"11100000", B"11100101", B"11001101", B"11000110",
1913
 B"00011110", B"11000100", B"00101101", B"00101001", B"11000110",
1914
 B"11001000", B"00111111", B"11111010", B"11100011", B"11111011",
1915
 B"11011111", B"11101111", B"11101001", B"00100000", B"00001011",
1916
 B"00100001", B"00100110", B"11101010", B"11111111", B"00100001",
1917
 B"00110000", B"01000001", B"11110101", B"00110010", B"11011010",
1918
 B"00001110", B"11001110", B"11100100", B"11001011", B"00101100",
1919
 B"00011110", B"00011101", B"00101100", B"11101010", B"10111010",
1920
 B"00000001", B"00010011", B"11111010", B"11111101", B"11100010",
1921
 B"10111001", B"01000000", B"00110111", B"00110100", B"11111010",
1922
 B"00100110", B"11101001", B"11100010", B"11010111", B"00010011",
1923
 B"00110001", B"00100011", B"00110011", B"00100001", B"00010000",
1924
 B"00011001", B"00100011", B"00010111", B"00100010", B"00010101",
1925
 B"00111111", B"00000010", B"00111101", B"00010011", B"11100011",
1926
 B"11100001", B"00010110", B"11011010", B"11001101", B"11010101",
1927
 B"11010011", B"00001111", B"00111011", B"00100110", B"00111010",
1928
 B"01000011", B"00011010", B"11100000", B"11110101", B"00011100",
1929
 B"00011000", B"11100010", B"11101101", B"11011110", B"00100111",
1930
 B"00010011", B"11011001", B"11100001", B"11101011", B"11001000",
1931
 B"11010011", B"11011101", B"00111011", B"01000110", B"00100110",
1932
 B"10111100", B"00100011", B"11011001", B"00101111", B"00101111",
1933
 B"11000000", B"00001101", B"11101001", B"11011000", B"11101010",
1934
 B"00100010", B"00100101", B"00010101", B"11110010", B"11101100",
1935
 B"00000000", B"11010100", B"11111100", B"11001010", B"11010011",
1936
 B"11100101", B"00010001", B"00111000", B"11010011", B"11010110",
1937
 B"11101110", B"11010001", B"11101001", B"11010011", B"11110110",
1938
 B"11011000", B"00010101", B"00001110", B"11100111", B"00110010",
1939
 B"11010110", B"00100000", B"11110111", B"11101111", B"11100111",
1940
 B"11100000", B"00011000", B"11100101", B"01001001", B"11101110",
1941
 B"00010110", B"11011000", B"11010010", B"00001100", B"00001101",
1942
 B"00100000", B"11011100", B"11011000", B"00110011", B"00001111",
1943
 B"00011101", B"11011110", B"00110100", B"11010010", B"00010110",
1944
 B"00010001", B"00000001", B"00001011", B"11011101", B"00001001",
1945
 B"11010000", B"00011011", B"11101111", B"11000110", B"00011111",
1946
 B"00101100", B"11100011", B"11101010", B"11010110", B"11000010",
1947
 B"11101011", B"11110010", B"11100011", B"11011110", B"00110100",
1948
 B"00100111", B"11110000", B"00101111", B"11110001", B"11100001",
1949
 B"00100010", B"11011101", B"11100100", B"11011011", B"00010101",
1950
 B"00100010", B"00000010", B"00101110", B"11001101", B"00101011",
1951
 B"11011111", B"11110001", B"11011111", B"00111001", B"00101011",
1952
 B"00101101", B"00111010", B"00101010", B"11011101", B"00100111",
1953
 B"11100010", B"00011010", B"11010111", B"00000000", B"11011001",
1954
 B"11100011", B"11111101", B"00110011", B"00100001", B"00001100",
1955
 B"00110010", B"11010010", B"11011111", B"11100101", B"11010010",
1956
 B"00101111", B"00100100", B"00100110", B"00101111", B"00011011",
1957
 B"00110100", B"11011100", B"00011110", B"00001111", B"11001111",
1958
 B"11111001", B"00110001", B"11011011", B"11011111", B"00100000",
1959
 B"11000110", B"00100010", B"11010101", B"11111010", B"00001010",
1960
 B"00101110", B"11111011", B"11001001", B"00011000", B"00011100",
1961
 B"11111010", B"11010101", B"00101011", B"00010011", B"00001010",
1962
 B"11111000", B"00011001", B"01001001", B"11101100", B"11101111",
1963
 B"00011010", B"11111001", B"00101110", B"00010110", B"11011001",
1964
 B"00011001", B"11110001", B"00110100", B"11110100", B"00000100",
1965
 B"11011011", B"00101010", B"11110111", B"11011000", B"00010000",
1966
 B"00010101", B"11101010", B"00011011", B"11011001", B"00100000",
1967
 B"00001010", B"11010010", B"00111101", B"00100111", B"11101010",
1968
 B"11010110", B"11101000", B"00100011", B"11101100", B"00101101",
1969
 B"11100000", B"11011000", B"00110000", B"11101000", B"00010010",
1970
 B"00100100", B"11011100", B"11010101", B"11000110", B"11111001",
1971
 B"11100111", B"11010111", B"00110000", B"01000010", B"11000101",
1972
 B"11100001", B"11001101", B"11100011", B"11110001", B"11110001",
1973
 B"00001000", B"00010011", B"00100110", B"00111101", B"00011111",
1974
 B"11011001", B"11010011", B"11110010", B"00100010", B"00101100",
1975
 B"00010010", B"11100010", B"00010100", B"11011110", B"00011101",
1976
 B"11100011", B"00010000", B"00100100", B"11001010", B"10111100",
1977
 B"11000111", B"00000010", B"11010011", B"00100001", B"00000001",
1978
 B"11100101", B"00101011", B"00100010", B"11000111", B"11011101",
1979
 B"00011011", B"00011111", B"11011100", B"11110000", B"11110000",
1980
 B"10111100", B"00100010", B"11101110", B"00110101", B"11100111",
1981
 B"00101111", B"11010001", B"00001100", B"10111111", B"11001110",
1982
 B"00011101", B"00101110", B"00011101", B"00010001", B"11011010",
1983
 B"11100011", B"00100110", B"00101010", B"11110010", B"11001010",
1984
 B"00011110", B"00011011", B"11000000", B"00001111", B"00011110",
1985
 B"11011110", B"11111111", B"00011110", B"11101000", B"00010001",
1986
 B"11111010", B"11100011", B"11010101", B"00100101", B"00100100",
1987
 B"11100100", B"00110100", B"00010010", B"11011010", B"11001001",
1988
 B"00011010", B"00011001", B"11101101", B"11111100", B"11111110",
1989
 B"11100111", B"11001100", B"00000110", B"11010111", B"11010101",
1990
 B"11101101", B"11110000", B"11100011", B"00001001", B"11100001",
1991
 B"00010100", B"11100101", B"11010010", B"00101000", B"00011011",
1992
 B"00100000", B"11010001", B"11101100", B"11100100", B"11110011",
1993
 B"00101001", B"11010000", B"00101001", B"00010100", B"00011110",
1994
 B"11011110", B"00000010", B"00011100", B"10111100", B"11100101",
1995
 B"00101011", B"00100101", B"11110010", B"11101111", B"11010001",
1996
 B"10111010", B"00010110", B"11100110", B"00010000", B"00010000",
1997
 B"00101000", B"11011000", B"11011000", B"01000001", B"00100000",
1998
 B"11010111", B"11100001", B"00100101", B"00111010", B"11101110",
1999
 B"11011100", B"00100001", B"00000011", B"11100001", B"11101010",
2000
 B"00000111", B"11100001", B"01000101", B"01000101", B"11100111",
2001
 B"00011001", B"00011110", B"11101101", B"11001010", B"11010101",
2002
 B"11001101", B"00101000", B"00100000", B"11101010", B"00111100",
2003
 B"11000101", B"11110100", B"11001101", B"00000001", B"00100000",
2004
 B"01000000", B"11111100", B"00001111", B"11100101", B"11101011",
2005
 B"11110100", B"00101101", B"00001101", B"00100110", B"00011100",
2006
 B"11111011", B"11010100", B"00100100", B"00110001", B"00101101",
2007
 B"11010101", B"00101001", B"11101011", B"00110000", B"11101010",
2008
 B"00010101", B"00001111", B"11100100", B"11100001", B"00111000",
2009
 B"00100101", B"11010001", B"11101001", B"00010100", B"00100100",
2010
 B"00010010", B"00001010", B"00100100", B"00101010", B"00001110",
2011
 B"00100111", B"11001101", B"00101001", B"11001110", B"00010100",
2012
 B"11110110", B"11010011", B"00111110", B"00010011", B"10110011",
2013
 B"11100111", B"11011101", B"00101000", B"00011001", B"11010111",
2014
 B"00011001", B"11011001", B"00100000", B"11111111", B"11100101",
2015
 B"00100010", B"00010010", B"11000000", B"00010000", B"00011111",
2016
 B"11011111", B"00100101", B"00110111", B"11100111", B"11011010",
2017
 B"11000011", B"11110100", B"11100101", B"11001110", B"00100001",
2018
 B"00011111", B"00101000", B"11110010", B"00100000", B"11001101",
2019
 B"00000111", B"11010111", B"11101101", B"00101000", B"00100001",
2020
 B"00011011", B"00110101", B"11100001", B"11111111", B"11001100",
2021
 B"00001011", B"00101100", B"00101000", B"11011111", B"00011110",
2022
 B"11000110", B"00010100", B"00101001", B"00100011", B"11100101",
2023
 B"00101001", B"00011111", B"11111000", B"11011101", B"00001001",
2024
 B"00100010", B"00101100", B"00011011", B"11010100", B"00011110",
2025
 B"11100111", B"00011110", B"11011101", B"00000000", B"11010011",
2026
 B"11000011", B"00100001", B"11000100", B"11101110", B"11101001",
2027
 B"11011000", B"00101000", B"11010101", B"00101000", B"00011101",
2028
 B"11011100", B"01000000", B"00001101", B"11011110", B"11010101",
2029
 B"00100000", B"11101111", B"11011000", B"11010100", B"00110100",
2030
 B"00010111", B"11101100", B"11110110", B"00100011", B"00100100",
2031
 B"00101011", B"11001101", B"00100001", B"11101011", B"00010011",
2032
 B"11001111", B"11101000", B"11000100", B"11100001", B"00101010",
2033
 B"00101011", B"00010110", B"00011110", B"11010100", B"00100000",
2034
 B"00100110", B"11111000", B"11011110", B"11100011", B"11110000",
2035
 B"11110001", B"00010101", B"00100100", B"00110111", B"11110111",
2036
 B"00011011", B"11001111", B"00100001", B"11110001", B"11001101",
2037
 B"11110010", B"00001001", B"11000001", B"00100111", B"11010111",
2038
 B"11010011", B"00011110", B"00101111", B"00100111", B"00010000",
2039
 B"11101100", B"00111110", B"11100111", B"00010101", B"00000100",
2040
 B"00010000", B"00111001", B"00110100", B"00101000", B"01000011",
2041
 B"00110101", B"00100011", B"00011110", B"00100011", B"11101110",
2042
 B"00010110", B"11100011", B"11101000", B"11100100", B"00001000",
2043
 B"00001011", B"00011001", B"11010101", B"11100000", B"11100100",
2044
 B"11011011", B"00011100", B"11100100", B"00010001", B"11100111",
2045
 B"00010111", B"00101011", B"00000111", B"11101110", B"11010010",
2046
 B"11001101", B"11100111", B"11010101", B"00110001", B"11110000",
2047
 B"11100111", B"11100111", B"00110011", B"00111111", B"11001111",
2048
 B"11000101", B"00001111", B"00011011", B"00101101", B"11101110",
2049
 B"00011110", B"11010001", B"00110010", B"00011011", B"11101000",
2050
 B"11010101", B"11011100", B"11100111", B"00110000", B"11010010",
2051
 B"00001111", B"11100110", B"00010010", B"00011010", B"11010100",
2052
 B"11010111", B"11001010", B"11001011", B"11011000", B"11011111",
2053
 B"00101000", B"00010110", B"00101010", B"11101000", B"00011110",
2054
 B"11001100", B"00100000", B"00011100", B"00001001", B"11101011",
2055
 B"11100000", B"00010111", B"00100011", B"11010100", B"11011100",
2056
 B"11010101", B"11100100", B"00001010", B"00011000", B"00011111",
2057
 B"00010011", B"11011001", B"11101101", B"11010001", B"00110011",
2058
 B"00010111", B"00100001", B"11001000", B"00011101", B"11111000",
2059
 B"00101110", B"11100110", B"11010000", B"11100011", B"11001101",
2060
 B"00011101", B"00101101", B"00101010", B"01001011", B"11110001",
2061
 B"00001111", B"11100101", B"00110100", B"11010011", B"11011000",
2062
 B"00000111", B"00100000", B"00100000", B"11100000", B"11101101",
2063
 B"11111010", B"11111010", B"00101010", B"11101000", B"00100011",
2064
 B"00111010", B"00111100", B"00001101", B"11110101", B"00011000",
2065
 B"11011111", B"00001110", B"11011011", B"00010001", B"11101011",
2066
 B"00010000", B"11101011", B"11110000", B"11101000", B"00000101",
2067
 B"00110001", B"11101101", B"00100100", B"00010011", B"11010000",
2068
 B"11100000", B"11100010", B"11011100", B"11100000", B"11111010",
2069
 B"11001001", B"11100010", B"11011010", B"00101000", B"00000101",
2070
 B"00101110", B"00011010", B"11010001", B"11111100", B"00100110",
2071
 B"11011010", B"00101001", B"11100111", B"11100100", B"00100001",
2072
 B"11101000", B"11111011", B"11100000", B"00110101", B"11011001",
2073
 B"11010100", B"00101001", B"00110101", B"00011010", B"11011001",
2074
 B"00001010", B"00100010", B"00000100", B"00100100", B"00011100",
2075
 B"11100010", B"00011111", B"00100100", B"11010110", B"00000101",
2076
 B"00010111", B"11001110", B"11110001", B"00001001", B"00010011",
2077
 B"11001110", B"00011100", B"11000111", B"11101100", B"11010100",
2078
 B"00100111", B"00011111", B"11010110", B"00101001", B"11101010",
2079
 B"00010001", B"11011101", B"11111001", B"00010010", B"00100001",
2080
 B"11111010", B"00100011", B"11100111", B"11100000", B"00000010",
2081
 B"00010110", B"01000111", B"11110010", B"00110111", B"11100000",
2082
 B"00011010", B"00100111", B"11111100", B"00001001", B"00110011",
2083
 B"11011111", B"11010100", B"11101111", B"00010110", B"00101101",
2084
 B"11010011", B"00101000", B"11011001", B"00111000", B"00010010",
2085
 B"11110011", B"11110001", B"00100101", B"11011111", B"11010001",
2086
 B"11100001", B"11011011", B"11001011", B"00001101", B"00101001",
2087
 B"11100100", B"11100001", B"11000101", B"11100010", B"11001101",
2088
 B"11100100", B"10111110", B"00001110", B"00010001", B"00011001",
2089
 B"00011011", B"11011001", B"11100000", B"11111000", B"00011110",
2090
 B"00101010", B"00010010", B"10111100", B"00101100", B"11100111",
2091
 B"00001101", B"10111111", B"11001010", B"00100000", B"11111011",
2092
 B"11111000", B"00100100", B"11010111", B"11011010", B"00011110",
2093
 B"00111111", B"11010101", B"11010110", B"00100101", B"00110111",
2094
 B"11101100", B"10111011", B"11100011", B"00110100", B"00011010",
2095
 B"11010001", B"11100011", B"11110010", B"11000111", B"11000011",
2096
 B"01000011", B"00100111", B"11010011", B"11011101", B"00011100",
2097
 B"00011000", B"11110111", B"11101010", B"11111010", B"11011001",
2098
 B"00101100", B"11011100", B"00101101", B"11100100", B"11100101",
2099
 B"00110000", B"11011111", B"11010001", B"00010001", B"00010110",
2100
 B"00100010", B"00111001", B"11011100", B"11011000", B"00010101",
2101
 B"00011101", B"00101110", B"00100101", B"00011000", B"00010111",
2102
 B"00011000", B"00011110", B"10111001", B"00100011", B"11001001",
2103
 B"00111111", B"11000110", B"11110111", B"00011001", B"00000110",
2104
 B"11011011", B"00100010", B"00111000", B"00100101", B"11010111",
2105
 B"00110000", B"11010001", B"00100001", B"11100000", B"00011110",
2106
 B"00110010", B"11010111", B"11011101", B"11010101", B"11100001",
2107
 B"11101001", B"00000010", B"11001011", B"11001111", B"00000111",
2108
 B"11011110", B"00101001", B"11011110", B"00100001", B"11001101",
2109
 B"00101001", B"00100101", B"11100001", B"11011011", B"11110001",
2110
 B"10111101", B"11001011", B"11100010", B"11110101", B"00001110",
2111
 B"00011100", B"00110101", B"00111100", B"11101110", B"11101011",
2112
 B"00111000", B"00011010", B"00001011", B"00011110", B"00010010",
2113
 B"00011001", B"00001011", B"00001010", B"00110110", B"11011001",
2114
 B"00011100", B"11010111", B"11000100", B"11100011", B"00110000",
2115
 B"00101111", B"00000011", B"11100000", B"00110011", B"11010110",
2116
 B"11001101", B"11100110", B"00010010", B"00100110", B"00010001",
2117
 B"00001010", B"11001001", B"00011000", B"00011111", B"11001100",
2118
 B"11100001", B"00110011", B"11001110", B"00010101", B"11001110",
2119
 B"11001001", B"11011110", B"00000110", B"01001101", B"11101100",
2120
 B"00010010", B"11111001", B"00111000", B"11011000", B"11000000",
2121
 B"11001010", B"00011001", B"00010110", B"11011001", B"11110100",
2122
 B"00100001", B"00110100", B"00011010", B"00111001", B"11100100",
2123
 B"11101001", B"00011110", B"11101100", B"00010010", B"11100001",
2124
 B"11010001", B"11001000", B"00011011", B"00100111", B"11100010",
2125
 B"00101011", B"00010010", B"11111010", B"11010110", B"11110101",
2126
 B"11000111", B"11010010", B"11011101", B"00101110", B"11010000",
2127
 B"00100011", B"11010100", B"11000111", B"00011011", B"00100000",
2128
 B"11110010", B"00110001", B"00010111", B"00011001", B"11111010",
2129
 B"00100010", B"11011000", B"00100110", B"11001110", B"11010010",
2130
 B"00110100", B"00010101", B"00011101", B"00100110", B"11000010",
2131
 B"11000111", B"11010111", B"00001110", B"00100111", B"01001111",
2132
 B"11101001", B"00100000", B"11010110", B"00110010", B"11100011",
2133
 B"11100100", B"00100011", B"11101011", B"00100111", B"11010010",
2134
 B"11011000", B"00110001", B"11000100", B"11101110", B"00100011",
2135
 B"11100001", B"11111011", B"00000000", B"11110100", B"01000000",
2136
 B"00101101", B"00101010", B"00110111", B"11100001", B"00011111",
2137
 B"11100110", B"00011101", B"11011011", B"00100010", B"10101111",
2138
 B"11100101", B"00011000", B"11000011", B"11001010", B"11011111",
2139
 B"11011110", B"00110101", B"11110010", B"11010010", B"00100010",
2140
 B"11010010", B"11011001", B"11111101", B"11101100", B"00000010",
2141
 B"00001001", B"11110010", B"11010111", B"11011001", B"00101100",
2142
 B"00010110", B"11100010", B"11101110", B"00101111", B"11000100",
2143
 B"00101011", B"11101010", B"11101011", B"00100100", B"00001110",
2144
 B"00110010", B"00011111", B"11011101", B"00100100", B"00110101",
2145
 B"10101111", B"11011110", B"00001101", B"11011100", B"11100011",
2146
 B"00011111", B"00011110", B"00100100", B"01000010", B"11011001",
2147
 B"11001100", B"00101100", B"11001000", B"00000000", B"11110110",
2148
 B"11100011", B"00101001", B"11011111", B"00100011", B"00011001",
2149
 B"00000101", B"00000100", B"00011001", B"00010100", B"11010111",
2150
 B"11100000", B"00001010", B"00001001", B"11110000", B"11100010",
2151
 B"00011111", B"11101100", B"11100000", B"11001110", B"11011101",
2152
 B"00011101", B"00011010", B"00000100", B"11010111", B"00100001",
2153
 B"11100010", B"00011111", B"11010001", B"00111110", B"00100010",
2154
 B"11101010", B"00101000", B"00011001", B"11011001", B"11101101",
2155
 B"00011111", B"11101100", B"11011001", B"11100010", B"11001001",
2156
 B"00001011", B"00110000", B"00010111", B"00100101", B"00101101",
2157
 B"11011101", B"11100110", B"00110110", B"11100110", B"11001111",
2158
 B"11010001", B"10111011", B"00011110", B"11011010", B"00011111",
2159
 B"11010011", B"11101001", B"11010010", B"00100010", B"00100010",
2160
 B"11101010", B"11000011", B"11100101", B"11011010", B"00100111",
2161
 B"00100100", B"00010100", B"00010111", B"00101111", B"00101001",
2162
 B"11001110", B"11111001", B"00101000", B"00010101", B"11011110",
2163
 B"11110000", B"11100011", B"11100001", B"11100101", B"11111110",
2164
 B"00000001", B"11100110", B"00011101", B"11010001", B"00110101",
2165
 B"00110110", B"00110100", B"00011011", B"00000111", B"00011011",
2166
 B"00010011", B"00001000", B"10111001", B"00111000", B"11110010",
2167
 B"00011001", B"00000000", B"11100001", B"00010000", B"00000100",
2168
 B"00010100", B"11101110", B"11101000", B"00010101", B"11000001",
2169
 B"11011011", B"11010110", B"11000110", B"11001110", B"11011010",
2170
 B"00101110", B"11111011", B"00101110", B"11001100", B"11111111",
2171
 B"00000110", B"00010001", B"00011111", B"11010110", B"00001010",
2172
 B"00101101", B"00001111", B"11100001", B"11001100", B"11110100",
2173
 B"00101000", B"11101111", B"00110110", B"11010101", B"11100010",
2174
 B"00011100", B"00101000", B"00000010", B"11011001", B"11110000",
2175
 B"11010100", B"11101100", B"00100101", B"11101100", B"00011100",
2176
 B"00011000", B"00011111", B"00110110", B"00110011", B"00010101",
2177
 B"00000111", B"00010010", B"00100100", B"00100100", B"11100001",
2178
 B"11110010", B"00110101", B"11001000", B"11011011", B"11100001",
2179
 B"11101111", B"00101111", B"00010100", B"11011011", B"00100000",
2180
 B"00010110", B"11110101", B"11101110", B"00001110", B"00110100",
2181
 B"00100110", B"00100000", B"11100011", B"00001011", B"11100101",
2182
 B"00011110", B"11011110", B"00010101", B"11011100", B"00110111",
2183
 B"00100100", B"11011110", B"00100101", B"00100110", B"11001111",
2184
 B"11011011", B"00001000", B"11100100", B"11100100", B"11011100",
2185
 B"00100001", B"00100110", B"11010101", B"00100011", B"00010110",
2186
 B"11110001", B"11111010", B"00011100", B"00101100", B"00000101",
2187
 B"10111100", B"00010100", B"11001111", B"11001101", B"11110000",
2188
 B"11100101", B"00010110", B"11001100", B"00110010", B"00011101",
2189
 B"11110111", B"00100110", B"00101001", B"11100100", B"00011010",
2190
 B"00100010", B"11100001", B"11011110", B"00010100", B"10111000",
2191
 B"00011101", B"11011000", B"11001101", B"00111110", B"00100110",
2192
 B"00010110", B"11101010", B"11010000", B"11010101", B"11011101",
2193
 B"00011010", B"11100110", B"00000111", B"10111101", B"11010111",
2194
 B"11101000", B"00100101", B"00100011", B"11100100", B"00011111",
2195
 B"11100011", B"11110011", B"11011110", B"00011111", B"00110011",
2196
 B"00110111", B"00101101", B"11100001", B"11111011", B"11000101",
2197
 B"11010010", B"11001110", B"00101111", B"00100100", B"11110111",
2198
 B"00110010", B"11011100", B"11011111", B"00000001", B"00100111",
2199
 B"11100000", B"00010100", B"11100001", B"11110010", B"00101010",
2200
 B"00011101", B"00111011", B"11010100", B"11010111", B"00100011",
2201
 B"00011101", B"11000000", B"11011011", B"00101110", B"11001000",
2202
 B"11100000", B"11110110", B"11001100", B"00011110", B"11001010",
2203
 B"00011101", B"00101000", B"00100010", B"11011111", B"01000000",
2204
 B"00010001", B"11100011", B"11000101", B"00110101", B"01000111",
2205
 B"00100011", B"00011100", B"00100110", B"00010000", B"00010111",
2206
 B"00010101", B"01000110", B"10111011", B"11101001", B"11011110",
2207
 B"11000100", B"00010101", B"00000001", B"00011100", B"00000110",
2208
 B"00100011", B"00010110", B"11110011", B"00100101", B"00011111",
2209
 B"11100010", B"00000111", B"00001110", B"11110101", B"11001111",
2210
 B"00101101", B"00101001", B"00101000", B"00000100", B"11100010",
2211
 B"11011010", B"00011000", B"11010111", B"11101110", B"00101110",
2212
 B"11101101", B"11010100", B"11110101", B"11100011", B"01000111",
2213
 B"00000110", B"11110001", B"00011011", B"00000110", B"11110010",
2214
 B"11110110", B"11100111", B"00011000", B"00100101", B"00101001",
2215
 B"00101101", B"00011101", B"00011101", B"00001011", B"00001101",
2216
 B"00010110", B"00111000", B"00010011", B"00110011", B"00011011",
2217
 B"00011110", B"00101101", B"00001111", B"11000010", B"00111000",
2218
 B"11111111", B"00001110", B"11010001", B"11100011", B"00011100",
2219
 B"00110001", B"10111100", B"11011000", B"00010100", B"11101010",
2220
 B"00001001", B"11011000", B"11100100", B"00011011", B"00101101",
2221
 B"11010010", B"00111000", B"00110010", B"00000101", B"00100100",
2222
 B"00110100", B"11101010", B"00000001", B"11011010", B"11100101",
2223
 B"11111011", B"11111011", B"00000111", B"11100111", B"00011110",
2224
 B"00100001", B"00100101", B"00110010", B"11011100", B"00101110",
2225
 B"11010111", B"00000011", B"11110000", B"11110011", B"00010111",
2226
 B"11011110", B"00010111", B"11101001", B"11100110", B"00100110",
2227
 B"00011101", B"00100101", B"00011111", B"00101110", B"00010100",
2228
 B"00101101", B"00010110", B"00101011", B"00100110", B"00011011",
2229
 B"00100110", B"11100100", B"11100101", B"00101001", B"00010110",
2230
 B"11100111", B"11101111", B"11100000", B"00100010", B"10111101",
2231
 B"11110010", B"11101010", B"00000011", B"00010101", B"11001111",
2232
 B"11100100", B"00100000", B"11010011", B"00101011", B"11011111",
2233
 B"11100111", B"00111101", B"00011101", B"11010100", B"11010000",
2234
 B"00110111", B"00010100", B"00011010", B"00001100", B"11101111",
2235
 B"11001101", B"11011111", B"00001010", B"00101100", B"00011001",
2236
 B"11000111", B"00110000", B"11110110", B"00010111", B"00010000",
2237
 B"00000011", B"00100110", B"11001111", B"11011011", B"11101000",
2238
 B"00010001", B"00011111", B"11010010", B"11000100", B"11011011",
2239
 B"00110010", B"00100000", B"11011001", B"00010010", B"11000101",
2240
 B"11011101", B"11100011", B"00010100", B"11010000", B"00000000",
2241
 B"11011000", B"11011110", B"00010110", B"11100011", B"00100001",
2242
 B"00100010", B"11100001", B"11010101", B"11011001", B"11010001",
2243
 B"11100010", B"11011000", B"11101101", B"11101101", B"00011111",
2244
 B"00100101", B"11010101", B"00111100", B"11010100", B"11011011",
2245
 B"11011011", B"11101111", B"11011011", B"00100011", B"11111111",
2246
 B"00101110", B"00001111", B"11011100", B"11101110", B"11001110",
2247
 B"00100111", B"00100101", B"11010111", B"00110010", B"11000111",
2248
 B"00110101", B"11001000", B"11000110", B"11101110", B"11100100",
2249
 B"00100110", B"11000100", B"00101001", B"11010001", B"11100000",
2250
 B"00011101", B"11010100", B"00010111", B"11011111", B"11100010",
2251
 B"01000001", B"11100011", B"00110000", B"11110111", B"00100010",
2252
 B"11011100", B"11011010", B"00011110", B"00111001", B"11001001",
2253
 B"00011011", B"00011101", B"11101101", B"11100000", B"11101100",
2254
 B"11011110", B"11011000", B"11011011", B"00110111", B"11010111",
2255
 B"00010110", B"00000011", B"11011110", B"00010111", B"00010111",
2256
 B"11100110", B"11100111", B"11011110", B"11101011", B"00111010",
2257
 B"00101010", B"00001100", B"11110100", B"00101000", B"11011001",
2258
 B"11000001", B"11101110", B"11010010", B"00011101", B"11010000",
2259
 B"00100111", B"00101001", B"11010011", B"11101111", B"00010101",
2260
 B"11000110", B"11110101", B"11101010", B"11011011", B"11011101",
2261
 B"00100001", B"00000000", B"00001100", B"11100111", B"00011000",
2262
 B"11010000", B"00011111", B"11100111", B"00110010", B"11100110",
2263
 B"00101010", B"11011110", B"00001001", B"00101100", B"00011111",
2264
 B"00101111", B"11111111", B"00001111", B"11001000", B"11100111",
2265
 B"11010110", B"00101100", B"00110110", B"11101010", B"11010111",
2266
 B"11100111", B"00011100", B"00011001", B"11100110", B"00011111",
2267
 B"11010000", B"11010010", B"00000000", B"11010110", B"00101000",
2268
 B"11010100", B"11010111", B"00110111", B"00100000", B"00101010",
2269
 B"00100100", B"00010011", B"00110110", B"00101011", B"00010110",
2270
 B"00101010", B"00011110", B"00010101", B"11101010", B"11100100",
2271
 B"11100010", B"11110000", B"00110011", B"11011011", B"00101011",
2272
 B"00100110", B"11011011", B"00000110", B"00010101", B"11011000",
2273
 B"11011110", B"11100111", B"11101000", B"11010100", B"11011010",
2274
 B"11100001", B"11010001", B"00101011", B"00011111", B"00001100",
2275
 B"00011111", B"11011110", B"00101101", B"00011010", B"00000110",
2276
 B"11000100", B"01000000", B"11110011", B"00010110", B"11010001",
2277
 B"00101100", B"00001011", B"11101000", B"11101001", B"11101110",
2278
 B"11000101", B"11100000", B"00100001", B"11101001", B"11110110",
2279
 B"00100000", B"11010101", B"11011000", B"11010001", B"11001001",
2280
 B"00001101", B"11010011", B"00001100", B"11001101", B"11100110",
2281
 B"11101010", B"11111111", B"00100011", B"00100001", B"00011000",
2282
 B"11101101", B"00001101", B"00110110", B"11010001", B"11001100",
2283
 B"00011000", B"11011100", B"00110011", B"10110111", B"11111110",
2284
 B"11010000", B"00101101", B"00011011", B"11010111", B"11101101",
2285
 B"11010110", B"11000011", B"11111011", B"00011000", B"00100100",
2286
 B"00010011", B"00010110", B"00100000", B"00100100", B"00101110",
2287
 B"00010101", B"00011000", B"00111000", B"00101001", B"00001011",
2288
 B"11011001", B"10111011", B"00110100", B"00111110", B"11100110",
2289
 B"00101100", B"11110100", B"11100101", B"00101001", B"11101010",
2290
 B"00010010", B"11100010", B"11011001", B"11101111", B"00100111",
2291
 B"00010001", B"00101100", B"11001000", B"00011110", B"11011010",
2292
 B"11101111", B"11110011", B"00101001", B"00100000", B"11100011",
2293
 B"11110001", B"00100010", B"10111001", B"00010100", B"11100101",
2294
 B"11011001", B"11101110", B"00011100", B"11011000", B"11001011",
2295
 B"11111001", B"00000011", B"00001111", B"11110111", B"11111111",
2296
 B"00100010", B"11010101", B"11011000", B"11011110", B"11001111",
2297
 B"00101011", B"11010010", B"00011100", B"00010101", B"11100001",
2298
 B"00111100", B"11000010", B"11010011", B"11011111", B"00111001",
2299
 B"00101101", B"00001111", B"00011010", B"11111010", B"11010100",
2300
 B"00011100", B"00101000", B"11011010", B"11001010", B"00110011",
2301
 B"11011000", B"00100001", B"11101000", B"11001100", B"11101001",
2302
 B"00011000", B"00000000", B"00101010", B"00100010", B"11001001",
2303
 B"11101100", B"00001100", B"00110011", B"11111000", B"11100111",
2304
 B"00101110", B"00100000", B"11011101", B"11011001", B"00110000",
2305
 B"00010111", B"11001100", B"11011110", B"11111011", B"00011110",
2306
 B"00001111", B"00000000", B"11110110", B"00010001", B"11111110",
2307
 B"00001110", B"11011110", B"11010100", B"00100010", B"11110001",
2308
 B"11111110", B"11111100", B"11100010", B"00101100", B"11001011",
2309
 B"00011010", B"11101100", B"00000111", B"11100111", B"00110010",
2310
 B"00101101", B"11100101", B"11110110", B"00011101", B"00101111",
2311
 B"00100010", B"11101010", B"11111011", B"11100001", B"00011011",
2312
 B"00101010", B"11011110", B"11111010", B"11101011", B"11011100",
2313
 B"00011111", B"11010101", B"00110010", B"11111000", B"00101100",
2314
 B"11101010", B"00100001", B"00000010", B"11100010", B"00011110",
2315
 B"00011001", B"11011000", B"11101000", B"00001110", B"00010110",
2316
 B"00101110", B"00110000", B"11101000", B"11001100", B"11100111",
2317
 B"10111101", B"11100001", B"00100110", B"00011101", B"11100101",
2318
 B"01001010", B"11111100", B"00110000", B"11010111", B"00010011",
2319
 B"00011000", B"11101100", B"11111110", B"00100101", B"11000111",
2320
 B"00011101", B"00000100", B"11100110", B"11011111", B"00011100",
2321
 B"00110000", B"11110000", B"11101010", B"11010101", B"11011011",
2322
 B"11101100", B"00100100", B"00101100", B"11101110", B"00011000",
2323
 B"11011010", B"11010010", B"00011101", B"00101111", B"11000111",
2324
 B"11101001", B"11101001", B"00000000", B"11000111", B"11100010",
2325
 B"00011111", B"11110100", B"11011101", B"11101111", B"00110101",
2326
 B"00011111", B"11010100", B"00000110", B"11011101", B"00010100",
2327
 B"01000100", B"11100111", B"00111000", B"00100001", B"11110101",
2328
 B"11110001", B"00101100", B"11100010", B"00100101", B"11101000",
2329
 B"11011000", B"00001000", B"00001110", B"11101000", B"00001001",
2330
 B"11111000", B"00100111", B"11101101", B"11011111", B"00001110",
2331
 B"00110101", B"00011011", B"11010110", B"00010100", B"11111011",
2332
 B"11101110", B"11001110", B"00011111", B"00011111", B"11010010",
2333
 B"00101001", B"11111000", B"11100110", B"11011001", B"00100101",
2334
 B"00000010", B"11100011", B"00110011", B"11010011", B"00101100",
2335
 B"11010010", B"11011011", B"11100010", B"00100000", B"00100011",
2336
 B"11011111", B"00010001", B"11011011", B"11001111", B"11011101",
2337
 B"00100100", B"00101100", B"00001000", B"00101110", B"11011001",
2338
 B"00011011", B"00010010", B"11100010", B"00110011", B"00010001",
2339
 B"11011001", B"00010010", B"11110100", B"11100111", B"00100001",
2340
 B"11100010", B"11100000", B"11111011", B"11001000", B"00110000",
2341
 B"11001001", B"11101000", B"00001000", B"10100110", B"11110111",
2342
 B"11110100", B"11010110", B"11010011", B"00011101", B"00000011",
2343
 B"00100101", B"11011111", B"00100111", B"11010010", B"00010101",
2344
 B"00010001", B"00011011", B"11110101", B"00011111", B"11101111",
2345
 B"11110001", B"11010000", B"00010001", B"11001100", B"11001100",
2346
 B"11011010", B"11000000", B"00010101", B"00100100", B"00111000",
2347
 B"00001111", B"00010000", B"00100101", B"11011110", B"00010100",
2348
 B"00001101", B"11011011", B"11101011", B"11111001", B"11011001",
2349
 B"11010001", B"11100100", B"11010110", B"00100001", B"00100110",
2350
 B"00111010", B"00101100", B"11011001", B"00111100", B"11011101",
2351
 B"11110101", B"11001110", B"00101100", B"00100010", B"11001000",
2352
 B"11010001", B"00010111", B"00011111", B"00101010", B"11110011",
2353
 B"00101000", B"11010110", B"00001101", B"00100010", B"00100010",
2354
 B"00100011", B"00101010", B"00010101", B"00111000", B"00110001",
2355
 B"00001101", B"00100010", B"11100010", B"00010001", B"00100000",
2356
 B"11110000", B"00001100", B"00010010", B"11101101", B"00110000",
2357
 B"11101000", B"11100110", B"00010101", B"11101111", B"11110110",
2358
 B"11000011", B"11010011", B"00011110", B"00100111", B"00111100",
2359
 B"11011001", B"00011011", B"11010011", B"00001010", B"11010010",
2360
 B"00110101", B"00011011", B"11011011", B"00011101", B"00011010",
2361
 B"11110100", B"11110110", B"00100011", B"00110110", B"00000100",
2362
 B"00000101", B"11111100", B"11111010", B"11100100", B"00010110",
2363
 B"11101010", B"00101000", B"11101100", B"11010000", B"00001101",
2364
 B"11110000", B"11100111", B"11001000", B"11001110", B"00110011",
2365
 B"11010101", B"00101000", B"00111101", B"11100110", B"00000110",
2366
 B"00011001", B"11011101", B"11101101", B"11011101", B"11010101",
2367
 B"11000100", B"00100110", B"00011011", B"00101111", B"00100000",
2368
 B"00101000", B"11011100", B"11011011", B"00100011", B"11111101",
2369
 B"11100000", B"11100011", B"11010011", B"00011010", B"00010010",
2370
 B"00101110", B"11010100", B"00010001", B"11011100", B"00110111",
2371
 B"11011100", B"00011110", B"00101000", B"11011110", B"00100001",
2372
 B"00001110", B"11011001", B"11101001", B"00110110", B"11010010",
2373
 B"01000011", B"00011010", B"11110000", B"11000101", B"11100100",
2374
 B"11111000", B"11000101", B"00000101", B"00100010", B"11101001",
2375
 B"11000100", B"00111001", B"00101001", B"11101100", B"11010100",
2376
 B"00101100", B"00010111", B"11110111", B"11100011", B"00110011",
2377
 B"01000011", B"11001110", B"11011010", B"00011100", B"11100101",
2378
 B"00100010", B"00111011", B"11010100", B"01001011", B"00010110",
2379
 B"11010010", B"00011010", B"11010000", B"11001001", B"10110010",
2380
 B"00000000", B"00011111", B"11101001", B"00011010", B"00110100",
2381
 B"11010111", B"00100010", B"00110001", B"11001011", B"01000110",
2382
 B"01000101", B"11100101", B"11100001", B"11111010", B"11011111",
2383
 B"11110000", B"00011101", B"00011010", B"00111110", B"00100111",
2384
 B"00001011", B"11010111", B"00001110", B"00010100", B"11110110",
2385
 B"00101001", B"00010110", B"11011101", B"00110111", B"11110110",
2386
 B"00100011", B"11000100", B"11001010", B"11010000", B"11110100",
2387
 B"00011101", B"00110011", B"11011111", B"00101101", B"00101101",
2388
 B"11011001", B"00100001", B"00011110", B"00001000", B"00000110",
2389
 B"00111000", B"00010000", B"00100101", B"11101110", B"00110110",
2390
 B"11110001", B"00101010", B"00100010", B"00011001", B"11101111",
2391
 B"11001101", B"00001001", B"00010110", B"11100111", B"11010111",
2392
 B"11011000", B"00100001", B"00011000", B"00010000", B"11110100",
2393
 B"11111100", B"11001110", B"00001110", B"00101100", B"00010100",
2394
 B"11110011", B"11100001", B"00100010", B"00110011", B"11111000",
2395
 B"11001101", B"00110100", B"11011010", B"11100111", B"00010111",
2396
 B"11100010", B"11110101", B"11100001", B"11101101", B"11100001",
2397
 B"01000000", B"00101001", B"11100111", B"11100110", B"11011110",
2398
 B"11110111", B"11010100", B"00000101", B"11010101", B"00111111",
2399
 B"10111101", B"11011100", B"10101111", B"00001010", B"00000110",
2400
 B"11100100", B"11110001", B"00000010", B"11101110", B"11011101",
2401
 B"11011000", B"11011101", B"11110000", B"00001010", B"00010101",
2402
 B"00011011", B"00111001", B"00010001", B"00101110", B"11100100",
2403
 B"11111111", B"11001011", B"00100001", B"11001101", B"11101101",
2404
 B"11011011", B"00010100", B"00110011", B"11010110", B"00110010",
2405
 B"00010000", B"11101101", B"00010101", B"00000101", B"11011000",
2406
 B"11100001", B"00011110", B"00011000", B"00110011", B"00011110",
2407
 B"00100100", B"00101010", B"00101111", B"00101000", B"00011001",
2408
 B"00000111", B"00000100", B"11100110", B"00011011", B"00110101",
2409
 B"11101011", B"11100000", B"00010100", B"00010011", B"00011011",
2410
 B"11011001", B"00100111", B"00011011", B"11001100", B"11011111",
2411
 B"00011001", B"11110000", B"11101000", B"11011010", B"00011010",
2412
 B"00100111", B"11111001", B"00100000", B"11001011", B"00111101",
2413
 B"11110110", B"00001100", B"00010000", B"11101000", B"01000000",
2414
 B"00101110", B"10111111", B"00010001", B"00011111", B"11100011",
2415
 B"00100111", B"00001001", B"11011101", B"11100100", B"00001011",
2416
 B"00011001", B"11010001", B"00010101", B"00100100", B"11110010",
2417
 B"00100110", B"00010011", B"11101110", B"00011110", B"11100000",
2418
 B"10111111", B"00100010", B"11100110", B"11000111", B"11101010",
2419
 B"11010000", B"11101100", B"00100001", B"00001110", B"11110011",
2420
 B"11011101", B"11011101", B"11101100", B"11110001", B"11001001",
2421
 B"11100100", B"00011001", B"11100110", B"00101100", B"11001010",
2422
 B"11110110", B"00111001", B"11011110", B"00100000", B"11010011",
2423
 B"00001100", B"11100011", B"11010001", B"00010111", B"00100111",
2424
 B"11111000", B"11010011", B"10111101", B"11101101", B"00100010",
2425
 B"00111010", B"00010000", B"00010001", B"11100110", B"11110001",
2426
 B"00110001", B"11100001", B"00000111", B"11011100", B"11001110",
2427
 B"00101101", B"00100011", B"11011111", B"11001001", B"00001110",
2428
 B"11110011", B"11000111", B"11100001", B"11111011", B"00001100",
2429
 B"11010001", B"00001010", B"00010100", B"10110100", B"00100000",
2430
 B"00101001", B"11010111", B"00001000", B"11011110", B"11010110",
2431
 B"11100110", B"11101101", B"00100110", B"11100010", B"00010111",
2432
 B"00010000", B"11101101", B"11011110", B"11101000", B"11000101",
2433
 B"00010101", B"11101101", B"00000111", B"11101010", B"00110000",
2434
 B"00001001", B"00011111", B"11100001", B"00001101", B"11100000",
2435
 B"00100101", B"00110010", B"11100001", B"11000010", B"11111001",
2436
 B"11010011", B"00100110", B"11101110", B"00000110", B"11100110",
2437
 B"00100111", B"00010001", B"00011001", B"11010101", B"00011100",
2438
 B"11010011", B"00010100", B"00010001", B"00110011", B"11001111",
2439
 B"00001111", B"00001011", B"11001100", B"11000100", B"00110111",
2440
 B"00010000", B"11011011", B"11001000", B"00111111", B"11110011",
2441
 B"11010001", B"11001111", B"11010110", B"11111100", B"00101111",
2442
 B"00100010", B"00111011", B"11110000", B"00101011", B"11100010",
2443
 B"00010100", B"11101110", B"00101110", B"00011100", B"11101100",
2444
 B"11011101", B"11100010", B"11101111", B"11101001", B"00011110",
2445
 B"00001110", B"11100111", B"11100011", B"00001111", B"00010000",
2446
 B"11000101", B"11010001", B"11100010", B"11010011", B"00100111",
2447
 B"00110001", B"00101000", B"00101101", B"11010001", B"11100011",
2448
 B"00001010", B"00001111", B"11011111", B"00101000", B"00110101",
2449
 B"11100010", B"11010010", B"00111110", B"11101100", B"00101010",
2450
 B"11100111", B"00001111", B"00011010", B"11010011", B"11100000",
2451
 B"01000011", B"11111101", B"11100100", B"11001111", B"11011010",
2452
 B"00110110", B"00011011", B"00001000", B"00011111", B"00010101",
2453
 B"00011000", B"11101111", B"11010110", B"00101010", B"00101000",
2454
 B"11011011", B"11100001", B"00011010", B"00101001", B"00010001",
2455
 B"00011100", B"00011011", B"00110100", B"11111011", B"00010010",
2456
 B"11011010", B"11011111", B"11101011", B"11100010", B"00100010",
2457
 B"00101111", B"00010000", B"00001101", B"11010000", B"11001101",
2458
 B"00010010", B"00011011", B"00001001", B"00011111", B"10111111",
2459
 B"11101100", B"00001111", B"00010101", B"00111001", B"11100110",
2460
 B"00001100", B"11101011", B"00100100", B"11100000", B"11100010",
2461
 B"00010011", B"00111101", B"00101000", B"11101111", B"00011010",
2462
 B"11100110", B"11110110", B"00011001", B"11111011", B"00010001",
2463
 B"11011010", B"00011100", B"11011000", B"00100011", B"11100100",
2464
 B"11001011", B"00010110", B"00101101", B"00011010", B"11100010",
2465
 B"00110001", B"11100101", B"00110001", B"00001111", B"11011110",
2466
 B"11010111", B"00101100", B"11011011", B"11100011", B"11100110",
2467
 B"00001100", B"11100000", B"00110010", B"11010011", B"00100001",
2468
 B"11010100", B"11101011", B"00011011", B"00110100", B"00001011",
2469
 B"11000011", B"11011000", B"11101110", B"00011011", B"00010100",
2470
 B"00010111", B"00011111", B"00001011", B"11111001", B"00011000",
2471
 B"00011100", B"11110000", B"00100001", B"00100010", B"11100011",
2472
 B"00100100", B"11000001", B"11001100", B"11011001", B"11011010",
2473
 B"00110001", B"11110000", B"00011011", B"00101100", B"00011010",
2474
 B"11101001", B"00101111", B"00011000", B"11101001", B"11100110",
2475
 B"00111101", B"00100010", B"11111000", B"11010010", B"11011010",
2476
 B"11101111", B"00100100", B"11100011", B"00001011", B"11011011",
2477
 B"01001110", B"00101100", B"11111000", B"11100000", B"11101100",
2478
 B"11110111", B"11011000", B"00101011", B"10110110", B"00000110",
2479
 B"00011001", B"11011101", B"01001000", B"00110111", B"10111011",
2480
 B"00100001", B"00001000", B"00000001", B"11110001", B"00011000",
2481
 B"11110011", B"00011010", B"11101101", B"11101110", B"00011100",
2482
 B"00100000", B"00011101", B"11100011", B"00110001", B"11101000",
2483
 B"01000111", B"00011110", B"11101000", B"00011001", B"00010110",
2484
 B"11100100", B"00110011", B"00100111", B"11010110", B"11110001",
2485
 B"11001011", B"11011010", B"00110001", B"01000100", B"11100000",
2486
 B"00100110", B"11011001", B"00100001", B"00011111", B"11100001",
2487
 B"00100101", B"00100111", B"11010111", B"10111011", B"00110100",
2488
 B"00000001", B"11011110", B"11011001", B"00010110", B"00010110",
2489
 B"11100100", B"00011010", B"11011011", B"00100101", B"11101110",
2490
 B"11110010", B"00100010", B"11000111", B"11101111", B"11110111",
2491
 B"11011000", B"11110110", B"00100000", B"00010010", B"00001101",
2492
 B"11110110", B"00011010", B"11100011", B"00101000", B"00010010",
2493
 B"11011100", B"11110001", B"00010000", B"11111110", B"11010101",
2494
 B"11001101", B"11010001", B"00010111", B"11010111", B"00001000",
2495
 B"11011111", B"11011001", B"00000100", B"11011100", B"00011000",
2496
 B"00010010", B"11101000", B"11110010", B"00011001", B"11100100",
2497
 B"11011001", B"11101001", B"11001111", B"00101101", B"00110000",
2498
 B"00010101", B"11110011", B"00011011", B"11101001", B"00000110",
2499
 B"11101101", B"00000111", B"11011101", B"11101001", B"00100111",
2500
 B"11000110", B"11100010", B"11101110", B"10110011", B"00101010",
2501
 B"00011110", B"00100100", B"11011000", B"00010001", B"11010101",
2502
 B"00011001", B"11100100", B"11011100", B"11100010", B"00011111",
2503
 B"11101010", B"00100110", B"11101110", B"11011100", B"00110000",
2504
 B"11110011", B"00100000", B"00001010", B"00110011", B"11110110",
2505
 B"00101111", B"11111010", B"00111010", B"11111100", B"11111100",
2506
 B"11101011", B"11100101", B"00011101", B"00101100", B"11111110",
2507
 B"00110110", B"11011010", B"00101111", B"00100101", B"11001011",
2508
 B"11000000", B"11101010", B"11011100", B"11110101", B"00110111",
2509
 B"00100000", B"11011000", B"11100101", B"00100010", B"00011010",
2510
 B"11010101", B"11101111", B"00011100", B"00110100", B"11010011",
2511
 B"11100110", B"00101100", B"00101000", B"11100111", B"11101010",
2512
 B"11010100", B"00100111", B"11101011", B"11101001", B"11011100",
2513
 B"00100011", B"00010111", B"11100100", B"11101001", B"11001100",
2514
 B"11010011", B"11011000", B"00101111", B"00011100", B"00011000",
2515
 B"00011000", B"11111101", B"11101100", B"00010100", B"00111000",
2516
 B"00100000", B"00000101", B"11110001", B"11101011", B"11010110",
2517
 B"00010110", B"00011000", B"11101011", B"11011101", B"11101010",
2518
 B"11001111", B"11010010", B"11100000", B"10111101", B"00011000",
2519
 B"11101111", B"00011010", B"11011110", B"11010011", B"00110100",
2520
 B"11101010", B"11101100", B"11101001", B"11111101", B"00001001",
2521
 B"00101101", B"00000101", B"00011101", B"00100001", B"00100001",
2522
 B"11100100", B"00110101", B"00001001", B"11011011", B"11101111",
2523
 B"00101111", B"11101000", B"11101101", B"00100001", B"11100011",
2524
 B"00010111", B"11101000", B"11010001", B"00010110", B"00011110",
2525
 B"00011000", B"11000010", B"00001011", B"00110101", B"10110101",
2526
 B"11011000", B"00101100", B"11011100", B"00011110", B"11101000",
2527
 B"00110000", B"11001110", B"00000010", B"01000011", B"00101110",
2528
 B"00110010", B"11110000", B"00110011", B"00010010", B"11010100",
2529
 B"00100101", B"00011011", B"11100000", B"11010100", B"00011001",
2530
 B"00101000", B"00101011", B"11000101", B"00101010", B"11001001",
2531
 B"00001010", B"00010101", B"00100001", B"00000111", B"00011111",
2532
 B"00110111", B"00110110", B"00010110", B"00000110", B"00100011",
2533
 B"00010010", B"00010000", B"00101001", B"00100011", B"00100001",
2534
 B"00100001", B"00001100", B"11100010", B"11110011", B"00011100",
2535
 B"00010000", B"00011000", B"00110000", B"11001101", B"11000101",
2536
 B"11110001", B"00100111", B"11100101", B"10111011", B"10111101",
2537
 B"00101000", B"00010101", B"11001101", B"00101011", B"11011011",
2538
 B"00011010", B"00001111", B"10111101", B"00100000", B"00011001",
2539
 B"11001101", B"00100011", B"00010000", B"11010111", B"11011001",
2540
 B"00110010", B"00010110", B"11110101", B"11001100", B"00100010",
2541
 B"11100011", B"00101000", B"00011001", B"11010111", B"00101000",
2542
 B"00011010", B"11011000", B"11111010", B"11111001", B"00011011",
2543
 B"11100001", B"11010110", B"11111110", B"11101111", B"11011010",
2544
 B"11110100", B"00011011", B"00110111", B"11010010", B"11010101",
2545
 B"11011010", B"11110100", B"11011010", B"00100010", B"00011111",
2546
 B"11010101", B"11011111", B"00011010", B"00000101", B"11111110",
2547
 B"10111001", B"11011000", B"00011111", B"00100100", B"11100101",
2548
 B"11011110", B"11010101", B"11110100", B"11001011", B"00010001",
2549
 B"11101010", B"11100100", B"00101111", B"11111001", B"11000110",
2550
 B"11000100", B"11100111", B"11100000", B"11100010", B"11011110",
2551
 B"11101010", B"00101010", B"00100000", B"00101001", B"00010111",
2552
 B"11101100", B"00110100", B"11011100", B"11101110", B"11101011",
2553
 B"00100001", B"00110000", B"11011111", B"00101000", B"00011101",
2554
 B"00111000", B"11101011", B"00110011", B"11110110", B"00100001",
2555
 B"11000010", B"11010100", B"00110111", B"11100100", B"11011101",
2556
 B"11011000", B"00100000", B"00011001", B"11100010", B"00010001",
2557
 B"00101101", B"00101110", B"00110000", B"00100010", B"00100111",
2558
 B"00100010", B"00100110", B"00011001", B"11001101", B"11011000",
2559
 B"00000111", B"11100110", B"11100000", B"11100011", B"11100111",
2560
 B"00010110", B"11101011", B"00101100", B"10111101", B"11010100",
2561
 B"11110111", B"00010011", B"00101001", B"00011011", B"11100001",
2562
 B"11001010", B"11100100", B"11100111", B"00100101", B"11100011",
2563
 B"00011011", B"00000111", B"11110011", B"00100101", B"11111001",
2564
 B"11101011", B"11100001", B"00110011", B"00100111", B"11010110",
2565
 B"11100101", B"00001010", B"11001001", B"00100011", B"11010111",
2566
 B"11100000", B"00011011", B"00011001", B"00011101", B"11100000",
2567
 B"11101101", B"00010100", B"00110110", B"11101011", B"11100001",
2568
 B"00110011", B"11010101", B"11101001", B"00010001", B"11110110",
2569
 B"11001110", B"11011101", B"11011010", B"11100101", B"11001101",
2570
 B"00010110", B"00100111", B"00100101", B"00010100", B"11101000",
2571
 B"11010111", B"11010011", B"11101111", B"00010101", B"11001111",
2572
 B"11011010", B"11110001", B"11101010", B"00000001", B"11011110",
2573
 B"11011001", B"11100011", B"00101001", B"00011011", B"11001100",
2574
 B"00010010", B"11010001", B"11101010", B"11001101", B"11101111",
2575
 B"11111000", B"00100101", B"00010111", B"00100011", B"00000111",
2576
 B"11101101", B"11010111", B"00101001", B"11101010", B"00001101",
2577
 B"11110110", B"11011011", B"00011001", B"00110000", B"11100001",
2578
 B"11100100", B"00100110", B"11011101", B"11111010", B"11101101",
2579
 B"11101010", B"11101010", B"00010000", B"11100110", B"00001100",
2580
 B"11011011", B"11011000", B"01000000", B"00010110", B"00001111",
2581
 B"11110100", B"00110000", B"11010011", B"11100111", B"11110010",
2582
 B"00011111", B"00011101", B"11011011", B"00010011", B"00001100",
2583
 B"00011111", B"11111010", B"00100100", B"11011111", B"00011001",
2584
 B"11110100", B"00001000", B"11110110", B"00100010", B"11001101",
2585
 B"11100001", B"00110110", B"00101000", B"00011011", B"00011100",
2586
 B"00011001", B"00110000", B"00011101", B"00001001", B"00111010",
2587
 B"00000011", B"11010111", B"00110010", B"11010001", B"11011111",
2588
 B"11101010", B"00110100", B"00100110", B"11011010", B"11011001",
2589
 B"11100100", B"00001100", B"00011100", B"00010111", B"00011110",
2590
 B"11010010", B"11011100", B"11101010", B"00001000", B"00010101",
2591
 B"00100110", B"11110100", B"00101100", B"11010100", B"00110010",
2592
 B"11101000", B"11010010", B"11110001", B"11010101", B"00110111",
2593
 B"00011100", B"00100101", B"00011010", B"00111011", B"11011010",
2594
 B"00101100", B"11011001", B"11011010", B"11100011", B"00101010",
2595
 B"00010001", B"00011111", B"11110000", B"11010010", B"11100100",
2596
 B"11110101", B"00010111", B"11000100", B"00111001", B"11100101",
2597
 B"00011000", B"11101011", B"11010011", B"11110110", B"00100101",
2598
 B"00101000", B"11100000", B"00001001", B"11101001", B"00010100",
2599
 B"11101111", B"11010011", B"11101111", B"00101000", B"00011111",
2600
 B"10111111", B"11110011", B"00010011", B"11011101", B"00110011",
2601
 B"11010010", B"11011110", B"00011101", B"11100010", B"11001101",
2602
 B"11100001", B"00011000", B"00001111", B"11100011", B"00100101",
2603
 B"11100110", B"01001101", B"11110100", B"00100111", B"11100011",
2604
 B"11011001", B"11011110", B"00010101", B"00110010", B"11010110",
2605
 B"00100101", B"00000110", B"00010111", B"11101100", B"00011000",
2606
 B"11100001", B"00001101", B"00100010", B"00100111", B"11011111",
2607
 B"11011100", B"00101001", B"00101101", B"00000000", B"11010101",
2608
 B"11010010", B"11011011", B"00111100", B"11011011", B"00110110",
2609
 B"11100001", B"11001110", B"00111011", B"11011111", B"00001100",
2610
 B"11100001", B"00011111", B"00101110", B"11111000", B"00101101",
2611
 B"11100101", B"00101110", B"11010111", B"10111100", B"00000101",
2612
 B"11011111", B"11011111", B"11001011", B"11011001", B"11010000",
2613
 B"11011111", B"00001011", B"00100001", B"00110010", B"00101010",
2614
 B"11101000", B"11011000", B"11011110", B"11100011", B"11001100",
2615
 B"00110100", B"00001000", B"11011110", B"00100011", B"11100010",
2616
 B"00100001", B"00100010", B"00110000", B"00100001", B"00011001",
2617
 B"00010100", B"00100000", B"00011101", B"11101101", B"00101000",
2618
 B"11110100", B"11100110", B"11101000", B"00100100", B"00011001",
2619
 B"11100000", B"11100011", B"00100101", B"11010001", B"11111100",
2620
 B"11001101", B"00011101", B"00010110", B"11011010", B"00001011",
2621
 B"00100010", B"00110001", B"00100101", B"00010101", B"11111010",
2622
 B"00010100", B"00011110", B"00101001", B"00011100", B"00010011",
2623
 B"11100001", B"00001111", B"11011111", B"00011111", B"11100101",
2624
 B"00110111", B"00101100", B"11001011", B"11010111", B"00011111",
2625
 B"00100101", B"11011001", B"11100101", B"11010011", B"11110011",
2626
 B"00001110", B"11100110", B"00011010", B"11101110", B"11010001",
2627
 B"00011010", B"00011110", B"00110111", B"11110101", B"11011100",
2628
 B"00000111", B"00110001", B"11101001", B"00000101", B"11110011",
2629
 B"11100101", B"11100011", B"11011111", B"00100000", B"00110010",
2630
 B"00011110", B"00110000", B"11100000", B"00011101", B"00110111",
2631
 B"00001111", B"11101001", B"00100010", B"11010110", B"00010110",
2632
 B"11101101", B"11010010", B"00100011", B"11010110", B"00101011",
2633
 B"11011000", B"11100011", B"00110101", B"11011011", B"00011100",
2634
 B"00001101", B"11010000", B"11101001", B"11101000", B"11110001",
2635
 B"11010011", B"11011101", B"11101100", B"11011110", B"00000001",
2636
 B"00110101", B"11011101", B"00011110", B"11101011", B"11001010",
2637
 B"11101001", B"00101110", B"00100011", B"00110010", B"00101010",
2638
 B"11001010", B"11001100", B"00101110", B"11101111", B"00011101",
2639
 B"00000111", B"11100110", B"00111010", B"00101000", B"11110001",
2640
 B"00011001", B"11011100", B"11100100", B"11100011", B"11011001",
2641
 B"00001100", B"11011001", B"00011010", B"00101011", B"00011011",
2642
 B"11101000", B"00110001", B"00011010", B"11110000", B"11110110",
2643
 B"00000111", B"00010111", B"00100010", B"11100100", B"00010100",
2644
 B"00011001", B"11110011", B"11100110", B"00001110", B"11110000",
2645
 B"00100100", B"00110101", B"11010110", B"11011100", B"11010110",
2646
 B"11010011", B"11010101", B"00101101", B"11011110", B"11011011",
2647
 B"11100000", B"11011101", B"00010100", B"11001100", B"00100010",
2648
 B"11100000", B"11011111", B"00011010", B"11011010", B"01000111",
2649
 B"11110001", B"11100101", B"00010110", B"00100110", B"11001000",
2650
 B"00101100", B"11100100", B"11100001", B"11001110", B"11111101",
2651
 B"00100110", B"00100100", B"11111110", B"00100110", B"00001110",
2652
 B"11101000", B"00110101", B"00101110", B"11101010", B"00100011",
2653
 B"00110100", B"11011000", B"00100010", B"00101101", B"11010011",
2654
 B"11000111", B"00100011", B"11010111", B"00011001", B"00011100",
2655
 B"00011100", B"11101100", B"00110000", B"11011010", B"11110110",
2656
 B"11101101", B"00001101", B"00001100", B"00101000", B"00011110",
2657
 B"00101001", B"00010101", B"00010101", B"11100010", B"00001100",
2658
 B"00011110", B"11100001", B"11010110", B"11010011", B"11110111",
2659
 B"11001100", B"11101010", B"00101111", B"11110010", B"11100010",
2660
 B"11010101", B"00100000", B"00001000", B"11011001", B"00100010",
2661
 B"11101011", B"11100001", B"00110100", B"11001000", B"11101001",
2662
 B"11000011", B"11010001", B"11100110", B"11011110", B"11010010",
2663
 B"00101010", B"00001111", B"11001011", B"00011101", B"11101101",
2664
 B"00100001", B"11100101", B"00101011", B"00101100", B"11100110",
2665
 B"00100100", B"00010110", B"11010110", B"00110110", B"00010011",
2666
 B"11010101", B"11100101", B"00111001", B"00010111", B"11101000",
2667
 B"00000001", B"11100110", B"11101101", B"00011100", B"00100110",
2668
 B"00100110", B"00101101", B"11101000", B"11001010", B"00101000",
2669
 B"00001111", B"00010001", B"11101010", B"00100111", B"11011011",
2670
 B"00001000", B"11100101", B"00011001", B"11011100", B"11011110",
2671
 B"11111010", B"11101111", B"00110001", B"11011010", B"11111111",
2672
 B"00100110", B"00101100", B"00010101", B"01000011", B"00101011",
2673
 B"01000010", B"00110100", B"00001011", B"11100010", B"00010011",
2674
 B"01000100", B"11101000", B"11100011", B"11001000", B"11100011",
2675
 B"11101101", B"11011010", B"11010001", B"00110000", B"11011100",
2676
 B"00101111", B"11000111", B"11000001", B"00110000", B"11111111",
2677
 B"00101000", B"00101000", B"00010000", B"01000101", B"00100001",
2678
 B"00010010", B"00100000", B"11110111", B"11100011", B"11110010",
2679
 B"11010011", B"00101100", B"00101000", B"00100011", B"00101110",
2680
 B"00011001", B"00010111", B"11010100", B"11101111", B"00000110",
2681
 B"00100101", B"11011001", B"11101001", B"11011001", B"00101000",
2682
 B"00100101", B"00101101", B"11110101", B"00111010", B"11010101",
2683
 B"11110100", B"11100011", B"00010101", B"11100001", B"11010100",
2684
 B"11100011", B"00101101", B"00101111", B"11100011", B"00100001",
2685
 B"00001101", B"00111010", B"11011110", B"00110110", B"11100000",
2686
 B"00101001", B"11110101", B"00101010", B"11110101", B"00100011",
2687
 B"11011100", B"11101110", B"11010010", B"00101001", B"00000000",
2688
 B"00101001", B"00101010", B"00100000", B"11110011", B"11111011",
2689
 B"11001010", B"00101011", B"11010110", B"11110011", B"11110110",
2690
 B"11011001", B"01000001", B"00111001", B"11110010", B"00110100",
2691
 B"10111000", B"11100110", B"11010111", B"00001110", B"00001111",
2692
 B"00100001", B"00110101", B"11011001", B"11101111", B"00100101",
2693
 B"10110111", B"00110100", B"11010001", B"11101100", B"11100000",
2694
 B"00101110", B"00001100", B"11000101", B"11010111", B"11110011",
2695
 B"00101011", B"00100101", B"11110110", B"00011100", B"11010100",
2696
 B"00001111", B"00000000", B"11100111", B"00110011", B"11010011",
2697
 B"11101110", B"11010001", B"11100110", B"00110100", B"00101000",
2698
 B"11100000", B"11100010", B"00100001", B"00101000", B"11011110",
2699
 B"00000100", B"00000010", B"11101011", B"00100000", B"00001100",
2700
 B"11110010", B"00011000", B"00111110", B"11001110", B"00010011",
2701
 B"11110010", B"00111100", B"11101000", B"11011100", B"11011101",
2702
 B"00000001", B"00110101", B"00001110", B"11010111", B"11010110",
2703
 B"11001110", B"11111101", B"00011010", B"00100000", B"00001110",
2704
 B"00000101", B"11110101", B"11100101", B"00010100", B"11101100",
2705
 B"11011111", B"00001100", B"11101001", B"00101001", B"11100100",
2706
 B"00100100", B"11011010", B"11011110", B"11011101", B"00001001",
2707
 B"00001000", B"00110000", B"00011111", B"11011000", B"10101101",
2708
 B"00011110", B"00000111", B"11110011", B"11110110", B"11010101",
2709
 B"00001001", B"11011100", B"11011011", B"11101110", B"00000001",
2710
 B"00101000", B"11010001", B"00010110", B"11011110", B"00010111",
2711
 B"00101011", B"11111101", B"00110100", B"00101001", B"11010010",
2712
 B"11110010", B"11011100", B"11111100", B"00100111", B"00111001",
2713
 B"11101001", B"00110001", B"11101010", B"00011000", B"00000001",
2714
 B"11110001", B"00011111", B"11011000", B"11011110", B"11011010",
2715
 B"11110011", B"11010000", B"11100111", B"00011101", B"00011110",
2716
 B"00001110", B"00011000", B"11100110", B"11010101", B"11010000",
2717
 B"00100111", B"11101011", B"00001111", B"10111001", B"11110101",
2718
 B"00000010", B"00100001", B"00011010", B"11100010", B"11010101",
2719
 B"11000011", B"11010111", B"00101101", B"11011010", B"00110000",
2720
 B"11011010", B"11011100", B"11010100", B"11010100", B"00111100",
2721
 B"00010100", B"00000111", B"00010101", B"11100100", B"11110000",
2722
 B"00101010", B"00101010", B"00100010", B"00110110", B"11000100",
2723
 B"11100000", B"00100110", B"00100110", B"11100101", B"11110010",
2724
 B"00011010", B"00011011", B"11010111", B"11000101", B"11001101",
2725
 B"00110000", B"11100001", B"11111001", B"11000000", B"00110000",
2726
 B"01000111", B"11100011", B"11010100", B"11010010", B"00100010",
2727
 B"11110111", B"00011010", B"11100100", B"11001001", B"00100101",
2728
 B"11010110", B"11100100", B"00111010", B"11010111", B"00011111",
2729
 B"11100011", B"11101001", B"00010010", B"11010110", B"00001111",
2730
 B"11000100", B"11111010", B"11010100", B"00000110", B"00011111",
2731
 B"11011010", B"11100110", B"00011101", B"11111001", B"11111001",
2732
 B"11010011", B"00101010", B"00100101", B"11101100", B"11011101",
2733
 B"11011000", B"00100000", B"00001110", B"00110111", B"00110000",
2734
 B"11010011", B"11011001", B"00101110", B"11111100", B"00110100",
2735
 B"11001111", B"11010111", B"11010010", B"00011000", B"00111001",
2736
 B"00101011", B"00000100", B"00001110", B"11011011", B"00100111",
2737
 B"11010011", B"00100010", B"11101110", B"11111001", B"00001010",
2738
 B"00001100", B"00010010", B"10111100", B"00010111", B"11101000",
2739
 B"00101010", B"00000010", B"11100101", B"00011011", B"11001101",
2740
 B"00010111", B"11101100", B"11101001", B"00011010", B"11001111",
2741
 B"11100100", B"00110111", B"00100100", B"00011011", B"00100000",
2742
 B"11011000", B"00000100", B"11010011", B"11011110", B"00011101",
2743
 B"01000001", B"00100011", B"00101001", B"11011100", B"11011100",
2744
 B"00011010", B"00100111", B"00001011", B"11011101", B"00100101",
2745
 B"11100011", B"00001010", B"00000001", B"00000110", B"11100100",
2746
 B"00110111", B"11110000", B"00001111", B"11000000", B"11100011",
2747
 B"00000010", B"11010010", B"11100011", B"11101100", B"01000001",
2748
 B"00100000", B"11011100", B"00011010", B"11101001", B"00000001",
2749
 B"11110111", B"00010001", B"11010011", B"11010111", B"11010111",
2750
 B"00100001", B"00111001", B"00100101", B"11010011", B"11100110",
2751
 B"00101001", B"11100010", B"11101111", B"11111110", B"11100011",
2752
 B"00100100", B"00101001", B"10110010", B"00101000", B"00101010",
2753
 B"11001111", B"11110011", B"00101011", B"11000011", B"00100011",
2754
 B"00110010", B"00010110", B"11100001", B"00011101", B"11010010",
2755
 B"00110110", B"11010100", B"11110110", B"00011110", B"00011100",
2756
 B"00100010", B"00101000", B"11100111", B"11011001", B"11101010",
2757
 B"11100010", B"00100100", B"11001000", B"00000110", B"11010110",
2758
 B"11010101", B"00110101", B"00000111", B"11110011", B"00010110",
2759
 B"11001100", B"11011010", B"11100000", B"00100010", B"00011010",
2760
 B"11101111", B"00001110", B"00100100", B"11011010", B"11101011",
2761
 B"11101011", B"11100001", B"11100111", B"00001000", B"11111011",
2762
 B"11100101", B"00101010", B"11101110", B"11011110", B"11001010",
2763
 B"11101001", B"11100000", B"00101001", B"00001100", B"00100011",
2764
 B"11100001", B"00011111", B"11100111", B"00100110", B"11100010",
2765
 B"00110001", B"11010010", B"11001010", B"11100000", B"00010101",
2766
 B"00101110", B"11011100", B"00010110", B"11011100", B"11111100",
2767
 B"00110001", B"11100001", B"00111010", B"00101100", B"11101001",
2768
 B"00011110", B"11111011", B"00111001", B"11011110", B"00000101",
2769
 B"10111111", B"00101100", B"11110111", B"00001110", B"11101010",
2770
 B"00000010", B"00010111", B"11101100", B"00110001", B"00101101",
2771
 B"11100100", B"11001101", B"11101010", B"00000111", B"11010110",
2772
 B"00110100", B"00110000", B"00010010", B"00111010", B"10110100",
2773
 B"11011001", B"11100011", B"00100001", B"00100101", B"11100111",
2774
 B"00111000", B"11101000", B"11011000", B"00001111", B"00101100",
2775
 B"00100111", B"11101000", B"00011110", B"11111000", B"00101001",
2776
 B"11100101", B"00101110", B"00111110", B"00010010", B"11001011",
2777
 B"00001001", B"11100011", B"00110100", B"00100011", B"00011000",
2778
 B"01000001", B"00110110", B"00000011", B"00100110", B"00011001",
2779
 B"00010110", B"00100010", B"00000100", B"00011011", B"11010110",
2780
 B"00100110", B"10111100", B"00001011", B"11011010", B"11001101",
2781
 B"11100010", B"00001110", B"00101101", B"00011001", B"00100001",
2782
 B"10110001", B"11001000", B"11111000", B"11101111", B"00011110",
2783
 B"00011001", B"00100100", B"00000111", B"11010000", B"11010100",
2784
 B"00011000", B"11100001", B"00101011", B"11001010", B"11110011",
2785
 B"11011010", B"00011100", B"00100010", B"11101010", B"00100110",
2786
 B"00100011", B"11100100", B"11100110", B"11000111", B"00000111",
2787
 B"11011011", B"11001011", B"00100110", B"00000011", B"11100011",
2788
 B"11001011", B"11110001", B"11101000", B"11010011", B"11011011",
2789
 B"11110000", B"00111001", B"11101110", B"11101101", B"11010110",
2790
 B"11011010", B"01000000", B"00101101", B"00101110", B"00001101",
2791
 B"01000101", B"00011111", B"00100000", B"00100000", B"00011110",
2792
 B"01000011", B"00010011", B"11001110", B"00101010", B"00110010",
2793
 B"11011000", B"11001010", B"00101111", B"11101000", B"00001000",
2794
 B"00100011", B"11010000", B"11011110", B"11101001", B"11001011",
2795
 B"11100010", B"00001011", B"00110110", B"00001100", B"11001011",
2796
 B"00000000", B"11101110", B"00011101", B"11000100", B"11110011",
2797
 B"00101001", B"00010000", B"11101111", B"11011010", B"11000011",
2798
 B"11011010", B"11110110", B"00011001", B"11011011", B"11011101",
2799
 B"11101000", B"11011001", B"00100100", B"11000010", B"00000010",
2800
 B"11001111", B"11001010", B"11011111", B"11011111", B"00011110",
2801
 B"00011111", B"00011111", B"00000111", B"11010111", B"11110000",
2802
 B"00010100", B"11011001", B"00101000", B"11100111", B"11011000",
2803
 B"00011110", B"00101101", B"00011100", B"00110100", B"11100110",
2804
 B"00010101", B"11100011", B"00000011", B"11010001", B"00011100",
2805
 B"00101011", B"11001110", B"00011001", B"00011100", B"11101010",
2806
 B"11010110", B"00100000", B"00010101", B"00110101", B"11001111",
2807
 B"11100010", B"00001111", B"00101110", B"11101001", B"11001111",
2808
 B"00011011", B"00100011", B"11101011", B"00011000", B"00100111",
2809
 B"11101100", B"11110000", B"00011001", B"00101110", B"00100000",
2810
 B"00011001", B"11011000", B"00101000", B"11011100", B"00101101",
2811
 B"11011111", B"00100001", B"11101010", B"11010100", B"00000001",
2812
 B"11101110", B"11100010", B"11100100", B"11101111", B"11101111",
2813
 B"11110000", B"11110110", B"11100110", B"00010110", B"00011001",
2814
 B"00011110", B"00101111", B"00101110", B"00010101", B"11110011",
2815
 B"00001001", B"00100111", B"11110001", B"11111001", B"00000111",
2816
 B"00011111", B"00101000", B"00110001", B"11010101", B"00000100",
2817
 B"11010100", B"00001000", B"11100000", B"11011111", B"00101110",
2818
 B"00001110", B"00100100", B"11100111", B"00100111", B"11111001",
2819
 B"00011000", B"11100110", B"00101101", B"11011111", B"11001000",
2820
 B"11010101", B"00011001", B"11111011", B"11011010", B"11110011",
2821
 B"00100011", B"11011101", B"00100011", B"11100101", B"11100011",
2822
 B"00101011", B"00101101", B"00100100", B"11110001", B"00100101",
2823
 B"00110110", B"10111110", B"00111010", B"00001001", B"11111001",
2824
 B"11100100", B"00011100", B"00011101", B"11011100", B"11100110",
2825
 B"11111000", B"11011100", B"11011100", B"00100100", B"11001011",
2826
 B"01000011", B"00101011", B"11100010", B"00010100", B"00100011",
2827
 B"11100000", B"11010111", B"11110011", B"11100101", B"00011111",
2828
 B"00100111", B"11101100", B"00100001", B"11100110", B"11010101",
2829
 B"00101111", B"11100110", B"00010011", B"11010001", B"11100111",
2830
 B"00111100", B"00001001", B"10111010", B"00001000", B"00010101",
2831
 B"00111011", B"11110101", B"00100000", B"11111100", B"00110001",
2832
 B"11101110", B"11100000", B"00101011", B"00011010", B"00001111",
2833
 B"00010011", B"11001011", B"11111001", B"00010100", B"00101011",
2834
 B"11100100", B"00110101", B"00110110", B"11100010", B"11111000",
2835
 B"00101111", B"00000111", B"11100101", B"00101000", B"00111000",
2836
 B"00000001", B"00001011", B"11001101", B"11100111", B"00101000",
2837
 B"11010101", B"00010111", B"11101100", B"11100001", B"11100110",
2838
 B"00001100", B"00101011", B"11011011", B"11011000", B"00101010",
2839
 B"00100101", B"00010000", B"00100101", B"11101100", B"11000111",
2840
 B"11010110", B"11001110", B"11110111", B"11101000", B"00011111",
2841
 B"00111010", B"00110000", B"00010100", B"00100010", B"11011001",
2842
 B"00110101", B"00010100", B"11001011", B"00010101", B"00101010",
2843
 B"11001111", B"11010011", B"01001001", B"11011011", B"11101101",
2844
 B"11110010", B"00100110", B"00010001", B"11101101", B"00011101",
2845
 B"00011110", B"00100111", B"00001000", B"00100001", B"00011110",
2846
 B"00000001", B"00100011", B"00010100", B"11011000", B"00011001",
2847
 B"11011100", B"11101011", B"11001101", B"00011000", B"00110100",
2848
 B"00100101", B"11001010", B"11010101", B"11001110", B"11011001",
2849
 B"01000000", B"11010000", B"00101001", B"00011101", B"00001110",
2850
 B"00100001", B"11011111", B"00110110", B"11010000", B"00111000",
2851
 B"11110111", B"00101100", B"11010110", B"11100101", B"00111000",
2852
 B"11011010", B"11110111", B"11011110", B"11011011", B"00001001",
2853
 B"11101011", B"00100010", B"11010100", B"11100000", B"11100111",
2854
 B"00010010", B"00110010", B"00101101", B"11001011", B"11100010",
2855
 B"00100011", B"11110100", B"11011001", B"11010100", B"11011011",
2856
 B"11011001", B"00010110", B"11001111", B"11111101", B"11100010",
2857
 B"00101101", B"00101011", B"11100111", B"11011010", B"11100101",
2858
 B"11010100", B"11111010", B"00001100", B"00100000", B"00001100",
2859
 B"00110100", B"11100111", B"11010001", B"00001110", B"11111100",
2860
 B"00100101", B"11110100", B"11100000", B"00011001", B"00011010",
2861
 B"11110000", B"11110000", B"11100010", B"11111011", B"00100000",
2862
 B"00000111", B"00101010", B"00000110", B"00011111", B"11101000",
2863
 B"00001001", B"11101011", B"11011001", B"00110101", B"01000111",
2864
 B"00101000", B"11101100", B"11010111", B"00011010", B"11100011",
2865
 B"11110011", B"11001100", B"11100100", B"00010110", B"00011011",
2866
 B"11001011", B"11100100", B"00000111", B"00100001", B"11101101",
2867
 B"11000101", B"00010000", B"00110111", B"00011010", B"00001001",
2868
 B"00110000", B"00010011", B"00100101", B"00010010", B"00010001",
2869
 B"00101010", B"00101011", B"11110101", B"00001011", B"11101101",
2870
 B"00010001", B"11001100", B"00011111", B"11101101", B"00100101",
2871
 B"11011111", B"11010111", B"11100001", B"00110100", B"00010111",
2872
 B"11100111", B"00000110", B"01001110", B"11100110", B"11010111",
2873
 B"11011110", B"11101000", B"11011001", B"11000011", B"00011101",
2874
 B"00100011", B"11100100", B"11110010", B"11010111", B"11010110",
2875
 B"11101100", B"11101011", B"00100000", B"00101111", B"11011000",
2876
 B"11111000", B"10101010", B"11100000", B"11100000", B"00011111",
2877
 B"00011101", B"00011011", B"11101001", B"00001111", B"11101110",
2878
 B"00001111", B"11011100", B"00000001", B"11101101", B"00011011",
2879
 B"00010111", B"00010111", B"00001110", B"11101100", B"11101011",
2880
 B"11101001", B"00101110", B"11010010", B"00011110", B"11101001",
2881
 B"11101000", B"00111000", B"00011101", B"01000000", B"11101100",
2882
 B"11011011", B"00111001", B"11010100", B"11001001", B"11100011",
2883
 B"11100011", B"00100010", B"00010011", B"11010100", B"11100111",
2884
 B"00010111", B"00111110", B"11010101", B"11000111", B"11000101",
2885
 B"11011100", B"11100001", B"11000111", B"00101011", B"00010001",
2886
 B"00001110", B"00011100", B"00010011", B"00011011", B"11011001",
2887
 B"00010011", B"00110111", B"11110100", B"11010001", B"00100000",
2888
 B"11001101", B"00011110", B"00100011", B"11001110", B"11101000",
2889
 B"11100110", B"11101101", B"11011011", B"11001111", B"11100110",
2890
 B"11011010", B"00000110", B"00100000", B"11100010", B"00110100",
2891
 B"11011001", B"11001011", B"11101001", B"11010110", B"11011111",
2892
 B"00100010", B"00011000", B"00100111", B"00100101", B"00101100",
2893
 B"00100000", B"00110100", B"00010110", B"00100110", B"00101000",
2894
 B"01000001", B"00001110", B"11100010", B"00001110", B"11100000",
2895
 B"11010100", B"11010110", B"00010100", B"00110111", B"11100001",
2896
 B"00001110", B"11001111", B"11110110", B"00010101", B"11010010",
2897
 B"11011110", B"11010011", B"11100011", B"11100011", B"00101110",
2898
 B"00100101", B"11010101", B"00010000", B"11010000", B"11010101",
2899
 B"10110111", B"11011011", B"11011111", B"00111011", B"11101110",
2900
 B"00101001", B"11100001", B"11001101", B"00110100", B"00001110",
2901
 B"00001110", B"11101100", B"11010110", B"00010100", B"00100010",
2902
 B"11010111", B"11111001", B"00010011", B"00011101", B"11100110",
2903
 B"00010111", B"00101100", B"11101100", B"11111010", B"00010110",
2904
 B"11010110", B"10110011", B"00101101", B"00010001", B"00001110",
2905
 B"00100011", B"11101100", B"11101011", B"11110101", B"11011000",
2906
 B"00011010", B"11011111", B"00110001", B"11011011", B"11010100",
2907
 B"00101000", B"11101011", B"01000011", B"00100110", B"00010011",
2908
 B"11100111", B"00100110", B"11011000", B"00010110", B"00101111",
2909
 B"00001111", B"00101110", B"11100110", B"00101100", B"11110001",
2910
 B"00011111", B"11101001", B"00011011", B"00011001", B"11101111",
2911
 B"11011000", B"00111111", B"00010110", B"11110010", B"11101000",
2912
 B"00100011", B"11100111", B"00100100", B"11001111", B"11100011",
2913
 B"11011000", B"00101000", B"00100010", B"00010001", B"11111100",
2914
 B"11100101", B"00110010", B"11010001", B"11011110", B"11101011",
2915
 B"00001000", B"11100001", B"00011110", B"00010000", B"11001010",
2916
 B"11100001", B"11110011", B"11100100", B"11000111", B"00010101",
2917
 B"11011110", B"11101100", B"11011011", B"11001110", B"00000000",
2918
 B"11011011", B"00010100", B"11011100", B"00101000", B"11101001",
2919
 B"00001111", B"11101110", B"11011000", B"00010001", B"00101001",
2920
 B"00011101", B"00101111", B"11011011", B"00011001", B"00011111",
2921
 B"11011101", B"11100011", B"00100010", B"11011011", B"00100011",
2922
 B"00010011", B"00100110", B"10110110", B"00110001", B"11100000",
2923
 B"00011110", B"00110100", B"11010010", B"00011001", B"00011110",
2924
 B"11101101", B"00000001", B"00110010", B"11100100", B"11011100",
2925
 B"11010101", B"11100100", B"11100101", B"00110110", B"00011000",
2926
 B"00100010", B"00101101", B"00100011", B"00101010", B"11101010",
2927
 B"00101000", B"00010010", B"11101111", B"11010011", B"00001110",
2928
 B"00100000", B"00100001", B"00011100", B"00101001", B"00000101",
2929
 B"01010100", B"00000011", B"00011001", B"00011110", B"00011101",
2930
 B"11100101", B"11100110", B"00111000", B"00011011", B"11101110",
2931
 B"11110011", B"11110110", B"00110010", B"11011000", B"00001111",
2932
 B"11110001", B"11010101", B"00011110", B"00001111", B"11111101",
2933
 B"00101100", B"11010101", B"00100000", B"11011011", B"11010110",
2934
 B"00011010", B"00100111", B"11100001", B"11100010", B"00001110",
2935
 B"11010000", B"00100111", B"11001110", B"11101000", B"00001111",
2936
 B"00010100", B"11001110", B"11001110", B"11110010", B"11010110",
2937
 B"00100110", B"11100110", B"00110110", B"11011010", B"00010110",
2938
 B"11100000", B"11110111", B"11010001", B"00100011", B"00111100",
2939
 B"11010000", B"00100001", B"00100000", B"11100001", B"11101000",
2940
 B"00010110", B"00011001", B"11100101", B"11011010", B"00101100",
2941
 B"11100101", B"01000111", B"00001001", B"11001101", B"00101001",
2942
 B"00100110", B"11010101", B"11101110", B"11110111", B"11011101",
2943
 B"11010101", B"00011101", B"00110001", B"00100101", B"00010101",
2944
 B"11001111", B"11100111", B"11100110", B"00100101", B"00011000",
2945
 B"11111001", B"00011110", B"11100000", B"00001001", B"00000111",
2946
 B"11010111", B"11100011", B"11101010", B"00100011", B"11100000",
2947
 B"00101101", B"00110001", B"11010110", B"11011001", B"11110010",
2948
 B"11101110", B"00100001", B"11010100", B"00100011", B"00100111",
2949
 B"00000110", B"11100101", B"00001100", B"00000010", B"11100010",
2950
 B"11101101", B"00111100", B"00101010", B"00010010", B"11011010",
2951
 B"11001110", B"00011010", B"00111011", B"11010000", B"11011100",
2952
 B"00001110", B"11101011", B"11011110", B"11011000", B"11101010",
2953
 B"00011100", B"11100110", B"11111010", B"11100100", B"10101101",
2954
 B"00110010", B"00110111", B"00010010", B"00101110", B"11100100",
2955
 B"11111110", B"00110100", B"00100111", B"11011100", B"00100111",
2956
 B"00010110", B"11001111", B"11011001", B"00110100", B"00101000",
2957
 B"11111000", B"00101101", B"11010011", B"11001101", B"11000100",
2958
 B"00011111", B"00100101", B"11100011", B"00000100", B"11011011",
2959
 B"00010101", B"11111110", B"11111000", B"00011111", B"00010111",
2960
 B"00100000", B"11000010", B"11011101", B"00010011", B"11010100",
2961
 B"11010011", B"11100101", B"11010011", B"11011010", B"11100010",
2962
 B"11011101", B"11001111", B"00001001", B"00010000", B"00100110",
2963
 B"00011110", B"11010111", B"11110001", B"00100101", B"11011101",
2964
 B"00101011", B"11010101", B"11100111", B"00101010", B"00101011",
2965
 B"11100101", B"00011100", B"11100000", B"11111001", B"11001000",
2966
 B"00100010", B"00010001", B"11110011", B"11011000", B"00110001",
2967
 B"00011110", B"00100111", B"00011110", B"11010111", B"11011101",
2968
 B"11010110", B"00011111", B"11011111", B"11000010", B"11101010",
2969
 B"00011010", B"00001000", B"11000111", B"11010100", B"00011100",
2970
 B"00011011", B"11011000", B"11010011", B"00101011", B"00101100",
2971
 B"11100010", B"11110001", B"00011000", B"11101000", B"11001100",
2972
 B"11011001", B"00100100", B"00111101", B"11100111", B"11100000",
2973
 B"11010110", B"11111010", B"00110101", B"00011001", B"11001000",
2974
 B"00001111", B"11101111", B"00011110", B"11100000", B"00100000",
2975
 B"00100100", B"00000001", B"00010010", B"00100010", B"11010110",
2976
 B"00100010", B"11100011", B"11101100", B"10111100", B"11101001",
2977
 B"00110001", B"11010011", B"11111101", B"00100110", B"00101001",
2978
 B"11101100", B"00111011", B"00010011", B"11001011", B"11100100",
2979
 B"00011111", B"11001110", B"11100010", B"00100011", B"00001111",
2980
 B"00100001", B"00010111", B"11010001", B"11011000", B"11110011",
2981
 B"11100001", B"00011011", B"11101000", B"00011011", B"11100101",
2982
 B"11100100", B"00001110", B"11010100", B"00110001", B"11111010",
2983
 B"00100100", B"11011100", B"00110001", B"11100001", B"00001100",
2984
 B"00100000", B"00110011", B"00011010", B"00101000", B"00001111",
2985
 B"00100101", B"00010101", B"00100001", B"00110001", B"11101011",
2986
 B"11010001", B"00011110", B"11011000", B"11101011", B"11100011",
2987
 B"10111111", B"11011101", B"00001101", B"11101100", B"11110100",
2988
 B"11011010", B"11110100", B"00001110", B"11101100", B"00010110",
2989
 B"00011111", B"11010101", B"11101111", B"00011110", B"00000011",
2990
 B"10110111", B"00000011", B"00100100", B"00011010", B"00101011",
2991
 B"11011011", B"00010101", B"11011100", B"00111001", B"11010110",
2992
 B"11001110", B"11101100", B"11111000", B"11010100", B"00101110",
2993
 B"00001010", B"00100001", B"00110101", B"00011001", B"00100011",
2994
 B"11100010", B"00100100", B"00110011", B"11110011", B"11100101",
2995
 B"00010101", B"11101010", B"11101111", B"00010110", B"11110100",
2996
 B"00111001", B"00001100", B"11101111", B"01000001", B"00100000",
2997
 B"00000110", B"00010100", B"11101011", B"00011110", B"10111110",
2998
 B"00011111", B"11010110", B"00001101", B"11001000", B"00110111",
2999
 B"11001000", B"11100111", B"11011000", B"00110101", B"00011110",
3000
 B"00011101", B"11101110", B"11010011", B"00000011", B"10110001",
3001
 B"00101010", B"11101011", B"00001001", B"11011111", B"00010010",
3002
 B"00010011", B"00100000", B"11001100", B"00010001", B"11100010",
3003
 B"00000101", B"11000000", B"00100100", B"11100011", B"00001101",
3004
 B"10111000", B"11001100", B"00100101", B"00111000", B"00001001",
3005
 B"01010001", B"00010010", B"00000101", B"00101000", B"00110110",
3006
 B"00000111", B"00011111", B"11110011", B"11111011", B"11100000",
3007
 B"00100011", B"01001110", B"11010110", B"00010100", B"11010101",
3008
 B"11011101", B"11010110", B"11110100", B"11010000", B"00100010",
3009
 B"00100010", B"00110001", B"00011110", B"11010110", B"11101010",
3010
 B"11100111", B"11101111", B"00111000", B"00010110", B"00110001",
3011
 B"00010110", B"11000001", B"11010101", B"11011010", B"11100000",
3012
 B"00010000", B"00111010", B"00011010", B"00101111", B"11010010",
3013
 B"00011001", B"11001101", B"11011110", B"11100111", B"00001111",
3014
 B"00001111", B"11100010", B"11001000", B"11011000", B"11100011",
3015
 B"00001010", B"00100111", B"11101100", B"00100010", B"11011100",
3016
 B"11111111", B"00011011", B"11100001", B"11110110", B"11100101",
3017
 B"00011100", B"11111100", B"11110101", B"11010101", B"11101010",
3018
 B"11110110", B"11100111", B"11111101", B"00011100", B"00010000",
3019
 B"00010011", B"00001000", B"00101001", B"00011000", B"11100111",
3020
 B"00010110", B"11100000", B"00011100", B"11100000", B"11100111",
3021
 B"01000010", B"00010111", B"11101100", B"11011111", B"11100101",
3022
 B"11010100", B"11010011", B"00011110", B"00000000", B"00011001",
3023
 B"11110010", B"11110010", B"11010110", B"00101001", B"00100101",
3024
 B"00110101", B"00011011", B"11100111", B"11001100", B"00110101",
3025
 B"00001010", B"11110000", B"10111101", B"00001001", B"00010010",
3026
 B"00011001", B"11011001", B"00110100", B"11011000", B"00001111",
3027
 B"11011100", B"00101110", B"11011110", B"11110001", B"00011110",
3028
 B"11010111", B"11011010", B"11011111", B"11100101", B"11100111",
3029
 B"00100010", B"11110100", B"11110010", B"11011111", B"11111001",
3030
 B"11110010", B"11101011", B"00101101", B"00110010", B"11001011",
3031
 B"00000001", B"00010111", B"11010101", B"11000101", B"00001101",
3032
 B"00011111", B"11011100", B"11011101", B"11001110", B"11100101",
3033
 B"00010111", B"11100010", B"00110000", B"11000100", B"11100011",
3034
 B"11110110", B"11001000", B"00100111", B"00101010", B"00011100",
3035
 B"00011011", B"11011001", B"11001110", B"00100011", B"11011100",
3036
 B"00100010", B"11111111", B"11011101", B"00100011", B"11100110",
3037
 B"11110000", B"11010111", B"00110000", B"00101100", B"11100010",
3038
 B"00100110", B"11001000", B"00010110", B"11011011", B"11010100",
3039
 B"00000110", B"00000011", B"11010101", B"11110010", B"11001100",
3040
 B"11100101", B"00101010", B"11011011", B"00010000", B"11101011",
3041
 B"11100011", B"00101010", B"00101011", B"11011010", B"11001101",
3042
 B"11110000", B"00010100", B"00111111", B"11110000", B"00111011",
3043
 B"11100110", B"11110010", B"11101101", B"00010110", B"00100010",
3044
 B"00001111", B"00101110", B"11100111", B"11101010", B"11010111",
3045
 B"11100001", B"00100100", B"00011000", B"00000101", B"00000011",
3046
 B"11001001", B"11100011", B"11101010", B"11100010", B"11000111",
3047
 B"00101001", B"00100001", B"11110001", B"00010100", B"11111001",
3048
 B"11110111", B"11101101", B"11100101", B"11010001", B"00011111",
3049
 B"00010001", B"00011110", B"00100000", B"00010001", B"00100110",
3050
 B"00110101", B"11010110", B"00000111", B"11011000", B"00101100",
3051
 B"11101110", B"11101011", B"00100110", B"11010100", B"00100000",
3052
 B"11011001", B"11011100", B"00110101", B"01000101", B"00101010",
3053
 B"11100101", B"11111110", B"11010010", B"11100010", B"00010101",
3054
 B"11001000", B"00001110", B"00100111", B"11100011", B"11001000",
3055
 B"11101100", B"10111010", B"00011001", B"11001110", B"00011100",
3056
 B"11011000", B"00101010", B"11011001", B"11100101", B"11101010",
3057
 B"00110101", B"00000011", B"11001001", B"11100011", B"00011101",
3058
 B"00011010", B"00001010", B"11100100", B"00011111", B"11010011",
3059
 B"00011001", B"11101111", B"00100011", B"11000101", B"11011110",
3060
 B"11101010", B"00101011", B"00010100", B"11011111", B"00110010",
3061
 B"00011000", B"00110000", B"00110100", B"00100000", B"00100100",
3062
 B"00111100", B"00001011", B"00011101", B"00001000", B"11110001",
3063
 B"11001010", B"00100111", B"00010001", B"11011001", B"11101110",
3064
 B"00001011", B"11011111", B"00010011", B"00010010", B"11000100",
3065
 B"00001110", B"00111010", B"11010111", B"11001111", B"00101010",
3066
 B"00101110", B"11101010", B"11011100", B"11111100", B"11100010",
3067
 B"11101011", B"00100101", B"11011111", B"11100010", B"00011110",
3068
 B"11100101", B"11011111", B"11010001", B"11101001", B"00101100",
3069
 B"00011010", B"11101101", B"00110101", B"00111011", B"00011010",
3070
 B"11010011", B"00011011", B"11010001", B"00111101", B"00001111",
3071
 B"00100111", B"11001010", B"00100000", B"11011111", B"00101100",
3072
 B"00110001", B"00001100", B"00011110", B"00000011", B"00100101",
3073
 B"11101100", B"00001100", B"11000100", B"11100010", B"11101111",
3074
 B"00101010", B"11001011", B"00100100", B"11001010", B"11001111",
3075
 B"00101010", B"11101010", B"11010110", B"00110111", B"11001100",
3076
 B"00010101", B"11100110", B"11100111", B"00100110", B"11000111",
3077
 B"00100111", B"11011011", B"01001110", B"11100010", B"11101001",
3078
 B"00100010", B"00010111", B"00101111", B"11110000", B"00100110",
3079
 B"11101000", B"00011000", B"11011011", B"00110100", B"11011011",
3080
 B"11100111", B"11100110", B"00100001", B"00100111", B"00110001",
3081
 B"00110010", B"11000011", B"11011011", B"00110010", B"00100110",
3082
 B"00010011", B"00001110", B"00100011", B"00110111", B"11110010",
3083
 B"00011010", B"11100010", B"11001000", B"00110011", B"11011110",
3084
 B"00110000", B"11000011", B"00000010", B"00100001", B"00010100",
3085
 B"00011110", B"11101011", B"00011111", B"00111111", B"10110101",
3086
 B"11110011", B"00011000", B"11110110", B"11010000", B"11111010",
3087
 B"11100010", B"00110101", B"00100110", B"00110010", B"00110111",
3088
 B"11001101", B"00100110", B"00010000", B"11100100", B"11010011",
3089
 B"11101010", B"11000101", B"11001001", B"11001011", B"11111001",
3090
 B"00011010", B"00110010", B"11001001", B"00001101", B"11011000",
3091
 B"00001101", B"00100111", B"11101100", B"00011000", B"01000011",
3092
 B"11110001", B"00110000", B"00010110", B"11101111", B"11010101",
3093
 B"11101001", B"11010110", B"11001001", B"00110011", B"00100001",
3094
 B"00000001", B"00011111", B"00100110", B"11011010", B"11101100",
3095
 B"11010100", B"11011100", B"00100000", B"11110010", B"00011011",
3096
 B"11010101", B"00101010", B"11101100", B"11100101", B"11101000",
3097
 B"00010110", B"00100011", B"11101001", B"00101100", B"11010111",
3098
 B"11011110", B"00010101", B"11000011", B"11010010", B"11001011",
3099
 B"11011011", B"00011100", B"00111011", B"00101100", B"00111001",
3100
 B"00110111", B"00001111", B"00011001", B"00101001", B"00110011",
3101
 B"00111010", B"00001001", B"11111001", B"00101111", B"11001111",
3102
 B"00001010", B"11010111", B"00110011", B"00010000", B"11010000",
3103
 B"00111001", B"00100000", B"11100010", B"11011110", B"00111110",
3104
 B"11011111", B"11110010", B"00010110", B"11100000", B"00010010",
3105
 B"11001011", B"10111010", B"00101001", B"00001100", B"11100010",
3106
 B"11111100", B"11101011", B"11101001", B"11010100", B"11011110",
3107
 B"11101011", B"00011010", B"11001111", B"11111001", B"00001001",
3108
 B"11011011", B"11100100", B"11100111", B"11011111", B"00011010",
3109
 B"11100010", B"00011101", B"11101010", B"11100101", B"11011010",
3110
 B"01000000", B"00011010", B"00000110", B"11101000", B"11001010",
3111
 B"11001111", B"00100000", B"00100000", B"00110101", B"00100010",
3112
 B"00101010", B"00010111", B"11011011", B"11100110", B"00001001",
3113
 B"00110110", B"00000011", B"11101110", B"11011111", B"11011010",
3114
 B"11010010", B"00100101", B"00010101", B"11100000", B"01000000",
3115
 B"11101001", B"00011001", B"11011000", B"00100010", B"11011100",
3116
 B"11010100", B"11100111", B"00101110", B"00010110", B"11101111",
3117
 B"00010101", B"11101111", B"00110010", B"11100001", B"11100101",
3118
 B"00100110", B"00010011", B"11010110", B"00001100", B"00000110",
3119
 B"00100001", B"01000111", B"00110101", B"11100000", B"11100000",
3120
 B"00000011", B"11010010", B"00010110", B"11001101", B"11010111",
3121
 B"11101111", B"00000000", B"00011111", B"11011101", B"11100001",
3122
 B"00111000", B"00011001", B"00101011", B"00101101", B"11100111",
3123
 B"11011111", B"00010011", B"11001101", B"11100010", B"00100010",
3124
 B"11011100", B"11010110", B"11001010", B"11001111", B"00000011",
3125
 B"00011000", B"11101101", B"00001110", B"00011110", B"11101100",
3126
 B"11010100", B"00000011", B"00110010", B"00110110", B"00101001",
3127
 B"11101011", B"00101101", B"11010010", B"00110100", B"11001100",
3128
 B"00000111", B"00101110", B"00110010", B"00011000", B"00101000",
3129
 B"00001101", B"00101010", B"00101000", B"11010100", B"11100101",
3130
 B"01010111", B"11011110", B"00000010", B"11001010", B"11000100",
3131
 B"00001111", B"11101110", B"00101001", B"00101101", B"11100101",
3132
 B"11011010", B"11000111", B"11000101", B"11101101", B"00110100",
3133
 B"00000100", B"00101011", B"11110001", B"00010100", B"11011001",
3134
 B"00100000", B"11101010", B"00101100", B"11011111", B"01000101",
3135
 B"00111101", B"11111100", B"00100011", B"00000110", B"11000000",
3136
 B"11100100", B"11110010", B"11101001", B"00001110", B"00010011",
3137
 B"11010011", B"00011101", B"11001000", B"11000000", B"00101101",
3138
 B"00101011", B"00011011", B"10111010", B"00011011", B"11100101",
3139
 B"00010011", B"00010111", B"11101110", B"11100001", B"00100101",
3140
 B"11100010", B"11100111", B"11101101", B"11001100", B"11001011",
3141
 B"11011101", B"00100101", B"00010010", B"00100010", B"00011010",
3142
 B"11010001", B"11011001", B"11010000", B"00100010", B"00101110",
3143
 B"00010010", B"11011101", B"00110101", B"11011111", B"00001101",
3144
 B"11111001", B"11100100", B"00011000", B"00011011", B"00011110",
3145
 B"00100011", B"11010001", B"11011111", B"00110101", B"11101101",
3146
 B"11100101", B"11100110", B"11100101", B"00110010", B"11101110",
3147
 B"00011011", B"11110011", B"00101101", B"00110000", B"11011001",
3148
 B"11101011", B"11101110", B"11011100", B"11011001", B"11010001",
3149
 B"00010101", B"01000010", B"11101000", B"11000010", B"11010010",
3150
 B"11101101", B"11011011", B"00010110", B"11100010", B"11001001",
3151
 B"00100001", B"11111101", B"00000001", B"11011011", B"11010111",
3152
 B"11100100", B"00010010", B"11100100", B"00001010", B"11101101",
3153
 B"11100110", B"00101011", B"00010110", B"00011001", B"00011111",
3154
 B"00011111", B"11011100", B"00100101", B"11000010", B"00100000",
3155
 B"11100010", B"00001110", B"11100100", B"11001110", B"00010010",
3156
 B"11011111", B"11101110", B"11011000", B"11110101", B"00111011",
3157
 B"00001011", B"00010101", B"11101001", B"00100010", B"11001111",
3158
 B"00101110", B"11100011", B"00100011", B"11001101", B"00110000",
3159
 B"11110010", B"11101000", B"11010001", B"00000110", B"00110011",
3160
 B"11011010", B"00011010", B"00100011", B"00111100", B"10110110",
3161
 B"00100010", B"11100001", B"00000100", B"00001111", B"11001111",
3162
 B"00011001", B"11100111", B"11011011", B"11011100", B"00010101",
3163
 B"00111111", B"00101111", B"11011101", B"00101001", B"11111110",
3164
 B"11011110", B"11101110", B"00110010", B"00101000", B"00001101",
3165
 B"11101010", B"10111101", B"11110100", B"11101101", B"00001111",
3166
 B"11101101", B"00010111", B"00100010", B"00100001", B"00100101",
3167
 B"00101011", B"00101111", B"00110011", B"00001100", B"00010011",
3168
 B"00110001", B"11101101", B"11110100", B"11011100", B"11001101",
3169
 B"00100111", B"11101000", B"00010010", B"11110001", B"11011111",
3170
 B"00111001", B"11001011", B"00010111", B"11011000", B"11100010",
3171
 B"00101000", B"00010110", B"00011100", B"11101011", B"00100100",
3172
 B"00100100", B"11110000", B"11110110", B"00100111", B"00101011",
3173
 B"00010101", B"00101011", B"11100011", B"00110011", B"11100110",
3174
 B"11111010", B"11100001", B"00010011", B"11010001", B"00100111",
3175
 B"00110100", B"11100000", B"00100110", B"00010111", B"11011111",
3176
 B"00100011", B"00010110", B"11000110", B"11010111", B"00100100",
3177
 B"00000111", B"11110011", B"11101011", B"11000110", B"11110000",
3178
 B"11001110", B"00001010", B"00010110", B"11100011", B"01001010",
3179
 B"00000101", B"00100001", B"11101000", B"00010011", B"00100011",
3180
 B"11011000", B"00100000", B"00110010", B"11011100", B"11011100",
3181
 B"11101101", B"11101011", B"00100110", B"00101001", B"11110110",
3182
 B"00001001", B"11000110", B"11101010", B"00100010", B"00110001",
3183
 B"00001100", B"11011100", B"00100101", B"11110011", B"00010001",
3184
 B"00011100", B"11110101", B"11011101", B"00111000", B"11001011",
3185
 B"11110101", B"11001100", B"11101011", B"11011010", B"00101011",
3186
 B"00101110", B"11010111", B"11111001", B"11100000", B"11100111",
3187
 B"11101110", B"11000111", B"11100001", B"00011000", B"11011011",
3188
 B"11111011", B"11001101", B"11000110", B"00000100", B"00101011",
3189
 B"11010111", B"11111100", B"00101111", B"11100101", B"00011010",
3190
 B"00001001", B"11110010", B"00100000", B"11101010", B"11001110",
3191
 B"11010000", B"11100001", B"00100101", B"11100000", B"00101011",
3192
 B"11101111", B"00101001", B"00000000", B"00101010", B"11101001",
3193
 B"01000001", B"11101001", B"00011011", B"11000011", B"00011000",
3194
 B"11011001", B"11010111", B"11000100", B"00011111", B"00010011",
3195
 B"11100010", B"00010000", B"00011010", B"11001101", B"00110000",
3196
 B"00101000", B"11101011", B"11011111", B"00110110", B"00010111",
3197
 B"11101010", B"11111100", B"11100001", B"11010000", B"00010000",
3198
 B"10111001", B"01000110", B"00100011", B"00100101", B"11101001",
3199
 B"00001111", B"00011101", B"11100110", B"11001110", B"00101000",
3200
 B"11101110", B"00101000", B"00101101", B"00010101", B"11100011",
3201
 B"00101001", B"11101010", B"00010011", B"00010000", B"11011110",
3202
 B"11101011", B"00100000", B"11000011", B"11101111", B"11110001",
3203
 B"11010100", B"00101100", B"00101000", B"00010101", B"00101100",
3204
 B"00110101", B"00101001", B"00101100", B"00011001", B"11001011",
3205
 B"00000011", B"00100010", B"00100000", B"11010001", B"00011101",
3206
 B"11111110", B"00110011", B"00000010", B"11100001", B"01001111",
3207
 B"00000101", B"11100000", B"11001011", B"00011010", B"00111101",
3208
 B"11101011", B"11010111", B"00011111", B"11001111", B"00011110",
3209
 B"11011010", B"11000000", B"00110011", B"00111001", B"00001110",
3210
 B"11101111", B"00101100", B"00101111", B"11101010", B"11110011",
3211
 B"00010101", B"11100010", B"11111010", B"00010011", B"00001111",
3212
 B"00110010", B"00001000", B"11100000", B"00000011", B"11011111",
3213
 B"00011101", B"11010110", B"00100111", B"11001101", B"10111000",
3214
 B"00101111", B"00010001", B"00011001", B"00101010", B"00101100",
3215
 B"00111010", B"00011000", B"00010100", B"00010101", B"00100101",
3216
 B"11010001", B"11011100", B"11001101", B"11011111", B"00011011",
3217
 B"00010100", B"11110000", B"00001000", B"11010101", B"00110101",
3218
 B"00111101", B"11011000", B"11111001", B"11001010", B"11011101",
3219
 B"11001000", B"00100011", B"00100010", B"00011010", B"00101000",
3220
 B"00011100", B"00110000", B"00010110", B"00101000", B"11100001",
3221
 B"00010101", B"00010010", B"11011101", B"11101110", B"11110101",
3222
 B"00001011", B"11110101", B"00100101", B"11110100", B"00010101",
3223
 B"11100001", B"11010100", B"11010111", B"00001011", B"00110010",
3224
 B"00010001", B"11101001", B"11011110", B"11000110", B"11011001",
3225
 B"00110000", B"11101110", B"00000110", B"11011110", B"00010011",
3226
 B"00110011", B"00100101", B"11011101", B"00000101", B"11110111",
3227
 B"00001101", B"11100100", B"11111001", B"11101111", B"00011011",
3228
 B"00101001", B"00001111", B"11011001", B"10111011", B"00101000",
3229
 B"00010011", B"11110000", B"00111111", B"00001111", B"11100001",
3230
 B"11001010", B"00100001", B"00001110", B"00010100", B"00010101",
3231
 B"11001001", B"00100111", B"10110111", B"00100000", B"11001111",
3232
 B"00010011", B"00010001", B"11010110", B"00011010", B"00110000",
3233
 B"11010100", B"11011101", B"00001101", B"11000100", B"00101101",
3234
 B"11100010", B"11111011", B"11110000", B"00101010", B"00010010",
3235
 B"10111110", B"11100000", B"00101000", B"00100010", B"00100011",
3236
 B"11110001", B"00000011", B"10111110", B"00001010", B"00010011",
3237
 B"00011101", B"11101000", B"00010001", B"00100111", B"11100001",
3238
 B"00000101", B"00011011", B"00111000", B"00011011", B"00001011",
3239
 B"00011010", B"00100011", B"00010111", B"00011011", B"00101101",
3240
 B"00101000", B"11010100", B"00101101", B"00111000", B"11111001",
3241
 B"00001111", B"00101010", B"11100010", B"00001010", B"00010111",
3242
 B"11010110", B"00011100", B"00100111", B"11111001", B"11101010",
3243
 B"00010011", B"00010111", B"00110101", B"00010010", B"11100010",
3244
 B"00110001", B"11011101", B"00100000", B"11111110", B"00001101",
3245
 B"11011101", B"00101100", B"00101000", B"11011010", B"00011010",
3246
 B"00010111", B"11110001", B"11100100", B"00011011", B"11110101",
3247
 B"11011011", B"11100100", B"00110010", B"00010111", B"11011100",
3248
 B"00100001", B"00010100", B"00101110", B"11101000", B"00110100",
3249
 B"11010100", B"00010010", B"11010100", B"11011000", B"11110111",
3250
 B"00100100", B"00110111", B"00010000", B"00011000", B"11011110",
3251
 B"11100101", B"11100110", B"11000110", B"00101001", B"00010001",
3252
 B"00100100", B"00001110", B"11100011", B"11011001", B"00001000",
3253
 B"11100101", B"11010101", B"00101001", B"11010100", B"11010000",
3254
 B"11011111", B"11001111", B"11010001", B"00100011", B"00000000",
3255
 B"11000110", B"11101011", B"11100011", B"11011011", B"11101000",
3256
 B"00100101", B"11010111", B"00101111", B"00010010", B"11101011",
3257
 B"00100010", B"00110010", B"11010100", B"00101000", B"11101000",
3258
 B"00011000", B"11100110", B"11001010", B"11101000", B"00100010",
3259
 B"00001100", B"11111000", B"11010111", B"11101001", B"00100001",
3260
 B"00000011", B"11111010", B"00101100", B"11001111", B"11011110",
3261
 B"00101011", B"11010010", B"00001000", B"11110100", B"11100000",
3262
 B"00010000", B"00100100", B"00100010", B"00100010", B"00100011",
3263
 B"11011101", B"00100100", B"11010011", B"00100101", B"11011100",
3264
 B"00001101", B"11011010", B"11011000", B"00100100", B"11010111",
3265
 B"11010111", B"11001110", B"11101110", B"00000100", B"11001000",
3266
 B"00001111", B"00000001", B"11110101", B"00011001", B"00110100",
3267
 B"11110011", B"11110010", B"11011010", B"11100001", B"00011010",
3268
 B"00000000", B"11010001", B"00101000", B"11011110", B"00001010",
3269
 B"11100010", B"11100111", B"11110101", B"11101101", B"00011000",
3270
 B"11011111", B"00001011", B"11100100", B"11011110", B"11000101",
3271
 B"11010111", B"00111110", B"01000010", B"00100101", B"00101001",
3272
 B"11101011", B"11011101", B"11101110", B"11111111", B"00011111",
3273
 B"11101011", B"00110000", B"11100010", B"00110011", B"00001001",
3274
 B"00011000", B"11100011", B"00011001", B"11101001", B"00100110",
3275
 B"11011000", B"11100011", B"11011110", B"00001100", B"00101111",
3276
 B"11110011", B"00100010", B"11010011", B"11010011", B"00011010",
3277
 B"11010111", B"00011100", B"11101001", B"11010100", B"10111110",
3278
 B"00011011", B"00110100", B"00100111", B"00010000", B"00101101",
3279
 B"00111000", B"00001011", B"00011100", B"00100101", B"00111000",
3280
 B"11100001", B"11010001", B"00100100", B"00100100", B"00001101",
3281
 B"00111011", B"11111001", B"11100010", B"00011110", B"00100111",
3282
 B"11011010", B"11101001", B"00011011", B"00101000", B"11101001",
3283
 B"11110001", B"11110001", B"00000110", B"00000110", B"11001101",
3284
 B"11001111", B"11101111", B"11101110", B"11100000", B"00100111",
3285
 B"11001110", B"00011001", B"11101100", B"11011101", B"11110001",
3286
 B"00101001", B"00011001", B"00001110", B"11110000", B"00110011",
3287
 B"11011110", B"11011000", B"11101000", B"00000000", B"00110001",
3288
 B"11101010", B"11011000", B"00100001", B"00100001", B"00101101",
3289
 B"00110111", B"11110110", B"11001011", B"00110000", B"00101011",
3290
 B"00011011", B"01000100", B"00101010", B"00011101", B"00100011",
3291
 B"00010110", B"01001101", B"11000111", B"00011000", B"00101110",
3292
 B"11010101", B"00001011", B"00010000", B"11101000", B"11101000",
3293
 B"00011001", B"11100110", B"11100110", B"11010011", B"00101101",
3294
 B"00100010", B"11100000", B"11011111", B"00001111", B"11101000",
3295
 B"11100111", B"11101111", B"00011101", B"00011010", B"11111100",
3296
 B"00111110", B"01000010", B"00000010", B"11010011", B"00100100",
3297
 B"11011001", B"00011001", B"11100011", B"11011011", B"00101000",
3298
 B"11111000", B"11011101", B"11011111", B"00100000", B"00110000",
3299
 B"11011101", B"11100010", B"00100010", B"00110000", B"11101010",
3300
 B"11100111", B"11100110", B"11100000", B"11100001", B"11000010",
3301
 B"11100000", B"11001001", B"11001000", B"00011110", B"00100110",
3302
 B"00110010", B"00011000", B"00100011", B"11100000", B"00000100",
3303
 B"00011101", B"11110100", B"00100110", B"00011111", B"11101101",
3304
 B"11101100", B"00011101", B"00011000", B"11100101", B"11111001",
3305
 B"11100000", B"11011101", B"11101001", B"00011011", B"00011010",
3306
 B"00001110", B"11100011", B"00001011", B"00100010", B"11100010",
3307
 B"11100000", B"00111010", B"00001000", B"00101110", B"00010000",
3308
 B"00100100", B"00011111", B"00001101", B"00011111", B"11001100",
3309
 B"00011110", B"11100000", B"00011111", B"11011011", B"00000111",
3310
 B"00010000", B"00100000", B"11101000", B"00101011", B"00101101",
3311
 B"00010110", B"11001101", B"00101000", B"11011110", B"00011100",
3312
 B"00011000", B"11010110", B"10111011", B"00101110", B"11110010",
3313
 B"11011100", B"11011100", B"11011110", B"11001100", B"00011100",
3314
 B"01000111", B"00110010", B"11011110", B"00101000", B"11101111",
3315
 B"00011000", B"00110011", B"00100100", B"00000001", B"00101011",
3316
 B"00110111", B"00100001", B"00010011", B"00101001", B"11011001",
3317
 B"11110011", B"00010000", B"11010000", B"00100101", B"11010101",
3318
 B"11011111", B"00100100", B"00100100", B"11100101", B"00011111",
3319
 B"00100110", B"11100100", B"00100101", B"00000111", B"11011001",
3320
 B"11101110", B"11100000", B"00110010", B"00110110", B"00101001",
3321
 B"00011010", B"11110001", B"11001010", B"11100010", B"11011001",
3322
 B"11101110", B"00010110", B"00110011", B"11010011", B"00011101",
3323
 B"11111110", B"00011111", B"00101000", B"00011000", B"11000111",
3324
 B"00001001", B"11110000", B"00011010", B"11101101", B"00101100",
3325
 B"11110000", B"11101101", B"11010011", B"11100111", B"00101001",
3326
 B"11011101", B"11111011", B"00010100", B"00100101", B"00010101",
3327
 B"11100100", B"00100001", B"11000011", B"00111001", B"11010010",
3328
 B"00100010", B"11000000", B"00100010", B"11101001", B"11100100",
3329
 B"11101000", B"00010011", B"00010011", B"00100000", B"00010111",
3330
 B"00100011", B"11001011", B"00101000", B"11110000", B"00100010",
3331
 B"11011000", B"00010110", B"11110000", B"00101000", B"11101101",
3332
 B"11110010", B"11100000", B"00010011", B"11111011", B"11011100",
3333
 B"00110111", B"00110101", B"00100000", B"11101010", B"00011100",
3334
 B"11111101", B"00100001", B"11001101", B"11111111", B"11001111",
3335
 B"11010111", B"00101001", B"00011001", B"00100111", B"00101000",
3336
 B"11100101", B"00011101", B"00110111", B"00100000", B"11100111",
3337
 B"00100101", B"11001110", B"01001000", B"00010010", B"11101010",
3338
 B"11110001", B"11101111", B"11011101", B"00100000", B"11100000",
3339
 B"00100100", B"11110110", B"11100001", B"00011011", B"00100001",
3340
 B"00011011", B"00010001", B"11010001", B"11011110", B"00001001",
3341
 B"11010101", B"11011011", B"11001110", B"11011100", B"00100000",
3342
 B"11110000", B"00011110", B"11010101", B"00101010", B"11011100",
3343
 B"00011100", B"11101010", B"11001111", B"00100101", B"00000100",
3344
 B"00011101", B"00011101", B"11111010", B"11101100", B"00110101",
3345
 B"00001101", B"11010101", B"11100011", B"11111110", B"00001101",
3346
 B"11011100", B"00100000", B"00001000", B"11011011", B"11100011",
3347
 B"00110000", B"00001000", B"11110110", B"00101001", B"11110110",
3348
 B"00100000", B"11011111", B"11100001", B"00011100", B"00111110",
3349
 B"00010101", B"11110111", B"11011111", B"00010010", B"00011111",
3350
 B"11111001", B"11110001", B"11111000", B"00100101", B"11100100",
3351
 B"11010101", B"11011000", B"00110110", B"00101000", B"11001010",
3352
 B"00100001", B"11100001", B"00001101", B"00110011", B"11100100",
3353
 B"00101001", B"01000111", B"11010111", B"11100010", B"11010101",
3354
 B"11111000", B"11101001", B"00100010", B"00101000", B"00110101",
3355
 B"00100110", B"00010000", B"11101011", B"00110101", B"10110110",
3356
 B"00011000", B"11000000", B"11001100", B"00111011", B"11010001",
3357
 B"11110101", B"00001101", B"00001001", B"00011010", B"00011000",
3358
 B"11100001", B"11100011", B"11011111", B"00111100", B"00010110",
3359
 B"00101000", B"11111110", B"00101011", B"11011100", B"00100111",
3360
 B"00100010", B"11010110", B"11111111", B"00100111", B"11011011",
3361
 B"00100100", B"00010011", B"11101001", B"11100100", B"00111000",
3362
 B"00101011", B"11111001", B"11010100", B"11100101", B"11011111",
3363
 B"11010001", B"00011110", B"00001101", B"11110101", B"11100111",
3364
 B"00011100", B"00011000", B"11011000", B"11100000", B"11010101",
3365
 B"11011010", B"11010001", B"00011010", B"00011010", B"11100110",
3366
 B"00010111", B"11110010", B"00100010", B"10111100", B"00100011",
3367
 B"11011111", B"11011000", B"11011011", B"00001100", B"00011011",
3368
 B"00011101", B"11100111", B"00001001", B"11001100", B"11100010",
3369
 B"11111101", B"00111110", B"00001100", B"11000010", B"10111001",
3370
 B"00100001", B"00011100", B"00110101", B"00011001", B"11011010",
3371
 B"00000011", B"11011011", B"11000101", B"11011111", B"11100011",
3372
 B"00101011", B"00110011", B"00101110", B"00111111", B"11111000",
3373
 B"11011111", B"00011110", B"00011101", B"00011100", B"00100101",
3374
 B"11000101", B"11101110", B"11100000", B"11101101", B"00011101",
3375
 B"00100000", B"00011010", B"00100000", B"11101001", B"11001110",
3376
 B"00100010", B"00010011", B"00010111", B"11001111", B"00011100",
3377
 B"11011101", B"11111001", B"11010010", B"11110000", B"11101000",
3378
 B"11101011", B"00011110", B"00001101", B"11101101", B"00110110",
3379
 B"11101100", B"11101001", B"00101001", B"00001110", B"00000111",
3380
 B"11011000", B"00011101", B"11100111", B"00100010", B"00001100",
3381
 B"11010010", B"00001100", B"11110100", B"11100010", B"11100110",
3382
 B"00100101", B"00101011", B"11101110", B"11011101", B"11110001",
3383
 B"11001011", B"00100010", B"00001111", B"00111001", B"00010101",
3384
 B"00110011", B"11110101", B"00100001", B"11100111", B"11100001",
3385
 B"11011010", B"00011000", B"00010110", B"11010111", B"00100010",
3386
 B"11011011", B"11001101", B"11001011", B"00010110", B"00110000",
3387
 B"11000100", B"11100100", B"11010001", B"00011100", B"11011110",
3388
 B"00100101", B"11101000", B"11000010", B"00011000", B"11011111",
3389
 B"00100010", B"00001100", B"11100011", B"11010100", B"11100000",
3390
 B"11100011", B"11101100", B"11011011", B"00011101", B"11011111",
3391
 B"10110111", B"11011011", B"00100000", B"00100000", B"11101000",
3392
 B"11100101", B"11100111", B"11010100", B"11101010", B"00010000",
3393
 B"00101101", B"01000011", B"00011111", B"00010111", B"00100011",
3394
 B"00011011", B"11100101", B"00101010", B"11001110", B"00010100",
3395
 B"11110011", B"00001111", B"10111100", B"00110000", B"11010111",
3396
 B"11011110", B"11101011", B"00110000", B"00010111", B"00010100",
3397
 B"00111011", B"00010101", B"11010001", B"00100100", B"11111100",
3398
 B"00100101", B"11000100", B"11110100", B"00000011", B"00010110",
3399
 B"00100100", B"11010011", B"00000101", B"11100110", B"00010110",
3400
 B"11100001", B"11111001", B"00110100", B"00100111", B"00010011",
3401
 B"00110111", B"11011101", B"11101111", B"00110110", B"11001010",
3402
 B"11101100", B"00111101", B"11001110", B"11110011", B"11101010",
3403
 B"11010001", B"11011100", B"00100110", B"11011100", B"00111111",
3404
 B"11100100", B"11100100", B"00111000", B"00100101", B"11001110",
3405
 B"00100011", B"00010010", B"00011100", B"11001110", B"00100010",
3406
 B"00000110", B"00010110", B"00000010", B"00100011", B"11011001",
3407
 B"11110110", B"00010110", B"00110100", B"11011001", B"11100001",
3408
 B"11010001", B"11110000", B"11011000", B"11100101", B"00100110",
3409
 B"00001010", B"00100110", B"00101100", B"00101111", B"00011011",
3410
 B"11001010", B"11100011", B"00101100", B"00010010", B"11100100",
3411
 B"11100011", B"11110001", B"11010111", B"00011001", B"00101110",
3412
 B"00010100", B"00101001", B"11011011", B"11000111", B"11100000",
3413
 B"11011011", B"00011010", B"11011010", B"00010010", B"11010110",
3414
 B"11011001", B"00101000", B"11101000", B"11010111", B"00101110",
3415
 B"11100111", B"00111001", B"11101001", B"11010111", B"00011010",
3416
 B"10111101", B"00011100", B"11000111", B"11100000", B"11100010",
3417
 B"00100011", B"00110010", B"11110000", B"11111000", B"00100011",
3418
 B"00010001", B"00100000", B"00010100", B"00000100", B"00100011",
3419
 B"00101001", B"00111001", B"00110000", B"11101011", B"11100110",
3420
 B"00101110", B"00101110", B"11111100", B"11011101", B"11011100",
3421
 B"00011101", B"00100101", B"00011101", B"11011101", B"00011110",
3422
 B"11100011", B"00111001", B"00011110", B"00100001", B"00010000",
3423
 B"11111010", B"00100001", B"11100001", B"00010010", B"11101011",
3424
 B"00000101", B"00100111", B"11010100", B"11101101", B"00101111",
3425
 B"00101011", B"11010011", B"11110000", B"11100111", B"00100100",
3426
 B"11010110", B"00100111", B"11101010", B"11100111", B"00010100",
3427
 B"00100010", B"11001111", B"00110010", B"00110110", B"00110100",
3428
 B"11100001", B"00111000", B"11110011", B"11111100", B"01010000",
3429
 B"00100111", B"00011010", B"00010101", B"00010011", B"00100100",
3430
 B"00001110", B"00110100", B"00101111", B"00100101", B"00000111",
3431
 B"00110001", B"00101000", B"00010101", B"00100101", B"00011101",
3432
 B"00010010", B"11001011", B"11100010", B"11011000", B"11011011",
3433
 B"00000100", B"11101001", B"00010110", B"11100001", B"11101000",
3434
 B"00000110", B"11100001", B"00010111", B"11100000", B"11100101",
3435
 B"00110100", B"11001001", B"11011010", B"00100111", B"00100111",
3436
 B"00111001", B"00111000", B"11011010", B"11000001", B"10111111",
3437
 B"11011001", B"11100001", B"00010101", B"00101000", B"11100011",
3438
 B"00010011", B"11101111", B"11101101", B"11011010", B"11101000",
3439
 B"11101001", B"00011111", B"00100111", B"00011110", B"00110100",
3440
 B"00010000", B"00100010", B"11100001", B"00101101", B"00101011",
3441
 B"11011001", B"11100110", B"00111010", B"00111000", B"11001100",
3442
 B"11111001", B"00001001", B"11001001", B"00001101", B"00101000",
3443
 B"11101111", B"11010000", B"11100111", B"00101010", B"11010100",
3444
 B"00101110", B"11011010", B"11001010", B"00100110", B"11011111",
3445
 B"00111000", B"00001111", B"00011110", B"11100100", B"00010001",
3446
 B"11100011", B"00010011", B"11110101", B"00001010", B"11010111",
3447
 B"00010110", B"11100101", B"11011010", B"00010011", B"00100011",
3448
 B"11011000", B"00001110", B"11110101", B"11011111", B"11110001",
3449
 B"00110001", B"00110111", B"11011100", B"11101011", B"00101011",
3450
 B"00110100", B"11100010", B"11100011", B"11100110", B"11101111",
3451
 B"11010011", B"00101100", B"00100000", B"11101001", B"00011001",
3452
 B"01000000", B"11010110", B"11100001", B"00011100", B"11001110",
3453
 B"11011100", B"11011111", B"11011011", B"00100110", B"00011110",
3454
 B"00100111", B"00010100", B"11101111", B"00011010", B"11011010",
3455
 B"11101100", B"11101001", B"00101111", B"00100111", B"11110010",
3456
 B"00011101", B"11100111", B"11011011", B"11011111", B"11101000",
3457
 B"00101001", B"11000000", B"00001001", B"11110100", B"00110111",
3458
 B"11010110", B"00011000", B"11011110", B"11100101", B"00011010",
3459
 B"00111111", B"11100001", B"00011011", B"00100000", B"11101001",
3460
 B"11011111", B"11000010", B"11100000", B"11001010", B"11011011",
3461
 B"11101100", B"11101000", B"00101001", B"00011110", B"11010111",
3462
 B"00010001", B"11001111", B"11100100", B"11010110", B"11100111",
3463
 B"00101000", B"00110010", B"11011001", B"00011111", B"11101101",
3464
 B"00100011", B"11001101", B"11011110", B"00001001", B"11011011",
3465
 B"11001110", B"11001111", B"10111001", B"11110010", B"11010111",
3466
 B"00101000", B"11011011", B"00011001", B"11101010", B"11100100",
3467
 B"00010111", B"11110000", B"00011010", B"00010011", B"11001001",
3468
 B"11110010", B"11110100", B"11100011", B"11010111", B"00101011",
3469
 B"11011000", B"00100001", B"11100101", B"11001001", B"11011111",
3470
 B"00110111", B"00101001", B"00010000", B"11010111", B"00010011",
3471
 B"11011000", B"11010010", B"11110010", B"00100111", B"00101100",
3472
 B"11101101", B"11100010", B"00010110", B"11101010", B"00100110",
3473
 B"11011101", B"11010010", B"00101000", B"00000011", B"00010100",
3474
 B"00011011", B"11101111", B"11110110", B"11010101", B"11010100",
3475
 B"11100001", B"00111001", B"11100110", B"00011011", B"11100111",
3476
 B"11101111", B"11110001", B"00010011", B"00001110", B"00011101",
3477
 B"00001011", B"11110100", B"11010000", B"00101000", B"00101110",
3478
 B"11011000", B"11101111", B"11110100", B"00001110", B"00010000",
3479
 B"11010111", B"11100101", B"00100101", B"11101010", B"11101001",
3480
 B"00100100", B"11101101", B"00110000", B"11110011", B"11100000",
3481
 B"11100111", B"00100110", B"00010000", B"00010001", B"11000001",
3482
 B"11001010", B"00010001", B"11101101", B"11101000", B"11110010",
3483
 B"11100111", B"11101110", B"11010110", B"11010001", B"11101100",
3484
 B"00011100", B"00011111", B"00011110", B"00010001", B"11101100",
3485
 B"11011110", B"00100101", B"11110111", B"00100010", B"11101010",
3486
 B"11010000", B"00110100", B"00010001", B"11111001", B"00001110",
3487
 B"00000100", B"11100000", B"00000110", B"00011011", B"11010111",
3488
 B"11110111", B"11011110", B"11011010", B"10111110", B"00000100",
3489
 B"00110001", B"00101101", B"01001100", B"11101110", B"00100100",
3490
 B"11101100", B"11100111", B"11010010", B"00011110", B"00100001",
3491
 B"11110000", B"11100000", B"00110000", B"00100010", B"11010110",
3492
 B"11010010", B"11101110", B"11110101", B"11010001", B"11110101",
3493
 B"00101100", B"00101011", B"10110000", B"11101010", B"00000001",
3494
 B"11100011", B"11101010", B"00010111", B"11010110", B"00001000",
3495
 B"11110100", B"11001110", B"00101011", B"11100010", B"00000011",
3496
 B"11011001", B"00101001", B"00000011", B"00100000", B"11001101",
3497
 B"10111110", B"00100101", B"00111011", B"00011111", B"11110000",
3498
 B"00101110", B"11100001", B"11100111", B"11011111", B"00100101",
3499
 B"00100110", B"00011101", B"00101101", B"11000001", B"00001110",
3500
 B"00100101", B"11101001", B"11110011", B"00011010", B"11010101",
3501
 B"00001010", B"00000101", B"00100011", B"11101101", B"00011101",
3502
 B"11011111", B"11111100", B"11010011", B"00100011", B"11010101",
3503
 B"11001111", B"11100010", B"00100111", B"00100001", B"11101011",
3504
 B"00100101", B"11100110", B"00011101", B"00010001", B"11010011",
3505
 B"00110000", B"00000100", B"11100001", B"00100000", B"11000010",
3506
 B"11011111", B"11100111", B"11011011", B"00110111", B"11010111",
3507
 B"00000100", B"00010101", B"00101010", B"11110101", B"11101000",
3508
 B"00000000", B"00101100", B"11011011", B"11010000", B"11101000",
3509
 B"11011101", B"00000110", B"11001110", B"00110000", B"11011001",
3510
 B"11010110", B"00000100", B"11010001", B"00000011", B"11010100",
3511
 B"11111111", B"00100110", B"00000111", B"00101101", B"11111011",
3512
 B"00100111", B"00011101", B"00010101", B"11011101", B"00000111",
3513
 B"11010111", B"00100110", B"11101001", B"00001111", B"11000001",
3514
 B"00010000", B"11100010", B"11100101", B"10110110", B"00101110",
3515
 B"00100010", B"00001111", B"00011100", B"11010111", B"11010011",
3516
 B"00111011", B"00100011", B"11000000", B"11101100", B"11100110",
3517
 B"11110100", B"00100111", B"00011100", B"11011000", B"00010001",
3518
 B"11101110", B"00010010", B"11101111", B"11010111", B"00011010",
3519
 B"11110000", B"00010101", B"11101100", B"11010001", B"00011101",
3520
 B"00001111", B"00100010", B"00110011", B"11100110", B"00010010",
3521
 B"11100010", B"00101100", B"11010101", B"00100100", B"00100110",
3522
 B"00001001", B"00101000", B"00100000", B"00011110", B"00100001",
3523
 B"00101101", B"00110110", B"11010000", B"11100000", B"11010010",
3524
 B"11100011", B"00100100", B"11011010", B"00100101", B"11100010",
3525
 B"00001101", B"11101110", B"00000110", B"11100100", B"11100100",
3526
 B"01000000", B"00000101", B"11100001", B"00101001", B"00011110",
3527
 B"00011001", B"11010100", B"00100110", B"11100001", B"00001111",
3528
 B"00010111", B"00100111", B"11001101", B"00100011", B"01001101",
3529
 B"11101010", B"11100010", B"00011010", B"00011101", B"00101000",
3530
 B"00100101", B"00010011", B"00101001", B"00111001", B"00101010",
3531
 B"00000010", B"00101101", B"00001001", B"11010000", B"11000100",
3532
 B"00100110", B"00101010", B"11010010", B"11110110", B"00001010",
3533
 B"11100101", B"00011000", B"00010111", B"11101001", B"00101100",
3534
 B"00010111", B"11001111", B"00001011", B"11100100", B"00110000",
3535
 B"00011111", B"11011010", B"00101001", B"00100001", B"11100001",
3536
 B"00111010", B"00100111", B"00110101", B"00100011", B"11111110",
3537
 B"00101101", B"00010111", B"00011110", B"00110011", B"11001000",
3538
 B"11101001", B"11001110", B"11100000", B"00110001", B"11011111",
3539
 B"00100101", B"11101101", B"00010111", B"00001101", B"00101011",
3540
 B"11101001", B"00001111", B"11110010", B"00001101", B"00011100",
3541
 B"11011111", B"00011011", B"11100100", B"11010001", B"11011100",
3542
 B"00010111", B"00010011", B"11001110", B"00110001", B"00011101",
3543
 B"11011111", B"11100011", B"11100111", B"11010111", B"11101011",
3544
 B"11110010", B"11101100", B"11010111", B"11101001", B"00110110",
3545
 B"00010000", B"00001110", B"00011110", B"11001111", B"11100011",
3546
 B"11110101", B"00101100", B"00100001", B"11100100", B"00010001",
3547
 B"11010000", B"00010001", B"00000111", B"11100000", B"00110100",
3548
 B"00001001", B"11010111", B"11010011", B"00110101", B"00011111",
3549
 B"00010100", B"11011100", B"00011010", B"00011100", B"11000010",
3550
 B"11101110", B"01001001", B"00110000", B"00001011", B"00011000",
3551
 B"00011001", B"00011111", B"00111101", B"00010001", B"00011100",
3552
 B"11000100", B"11101000", B"00110011", B"00101001", B"00100001",
3553
 B"00100111", B"11010001", B"11100000", B"00100010", B"00101111",
3554
 B"11001101", B"00010110", B"00101011", B"11100011", B"11001000",
3555
 B"00000001", B"00101011", B"11000101", B"11001000", B"11010001",
3556
 B"11011111", B"00100101", B"11100010", B"00101100", B"00111111",
3557
 B"01000000", B"00100001", B"00101001", B"01000111", B"00010100",
3558
 B"00000100", B"00010101", B"11011110", B"00000000", B"11101011",
3559
 B"11101000", B"11101010", B"00010000", B"00011111", B"11010010",
3560
 B"11100101", B"11011010", B"11010001", B"00101110", B"00011101",
3561
 B"11101101", B"00011111", B"11101001", B"11010111", B"11010000",
3562
 B"00100110", B"11110100", B"00100101", B"00000111", B"11101101",
3563
 B"00110001", B"11101001", B"11011011", B"11001001", B"00001100",
3564
 B"00000101", B"11001010", B"00100111", B"11010111", B"00100111",
3565
 B"00011000", B"00101110", B"00011110", B"00101100", B"00011010",
3566
 B"00010110", B"00101110", B"00110100", B"00010000", B"11110110",
3567
 B"00101111", B"00100001", B"11101101", B"11010001", B"00011100",
3568
 B"11000110", B"00011011", B"00011110", B"11010010", B"11111010",
3569
 B"00000101", B"11001010", B"11011010", B"00101000", B"00011011",
3570
 B"11100101", B"11100001", B"11111101", B"00100111", B"11100000",
3571
 B"11100100", B"11110110", B"11101101", B"11010111", B"11011110",
3572
 B"00011100", B"00111101", B"00011000", B"00010111", B"11101011",
3573
 B"11101111", B"00001110", B"00010111", B"00100100", B"00100001",
3574
 B"11101001", B"11011101", B"00100100", B"00111001", B"00011111",
3575
 B"00101111", B"00000010", B"00100001", B"00011110", B"00110000",
3576
 B"00100100", B"11100100", B"00000100", B"11011000", B"11000111",
3577
 B"11100011", B"00101100", B"11111001", B"11101000", B"11011111",
3578
 B"00111010", B"11001111", B"00000011", B"11100100", B"11000110",
3579
 B"01000010", B"11111010", B"00110111", B"00011101", B"11010101",
3580
 B"00010011", B"11000111", B"00011011", B"11101110", B"11100011",
3581
 B"11001010", B"11111000", B"00011100", B"00100111", B"11100000",
3582
 B"00011001", B"11001110", B"00110101", B"00110011", B"00100000",
3583
 B"11101110", B"00100001", B"11011111", B"00100011", B"11010110",
3584
 B"11010101", B"11100000", B"00010010", B"11101001", B"00101100",
3585
 B"11110111", B"11101000", B"00001001", B"00001101", B"00011100",
3586
 B"00100100", B"00000101", B"00110010", B"00000111", B"00001010",
3587
 B"00011100", B"00011101", B"00101001", B"11011010", B"00011100",
3588
 B"00001100", B"11010010", B"11100000", B"00000001", B"00101011",
3589
 B"11010010", B"11010100", B"00011010", B"11011000", B"11100000",
3590
 B"11100101", B"11010001", B"00000100", B"00010011", B"00000011",
3591
 B"00001100", B"00000111", B"00100001", B"11111110", B"00011011",
3592
 B"00101000", B"00100011", B"11010100", B"00010011", B"00000000",
3593
 B"11101000", B"11010110", B"00101101", B"00011100", B"00001111",
3594
 B"11010001", B"11011011", B"00011001", B"11111110", B"11101000",
3595
 B"11101110", B"00011001", B"11110010", B"00111000", B"00011101",
3596
 B"11110110", B"00011101", B"00100110", B"11010111", B"11111001",
3597
 B"00011110", B"00110000", B"00011000", B"11101100", B"00110000",
3598
 B"11011010", B"00100100", B"11010100", B"00100101", B"11100000",
3599
 B"11100011", B"11101000", B"11111100", B"00011100", B"11001010",
3600
 B"00011001", B"00011001", B"00011011", B"11101000", B"00110010",
3601
 B"11101011", B"00101110", B"11010101", B"11101011", B"11001110",
3602
 B"00010011", B"11110011", B"00100100", B"11001001", B"11100111",
3603
 B"00100101", B"10111101", B"11001101", B"11010100", B"00111010",
3604
 B"00100101", B"11101000", B"00011010", B"11101000", B"11101101",
3605
 B"01000100", B"00101000", B"00101100", B"11010111", B"00011101",
3606
 B"11000001", B"00101111", B"00011100", B"00101001", B"00101001",
3607
 B"11010001", B"00111010", B"11010110", B"00001011", B"11001101",
3608
 B"00011110", B"00110000", B"11110110", B"11101000", B"00011101",
3609
 B"00001011", B"11101101", B"11101111", B"01000111", B"11110000",
3610
 B"00100110", B"00011011", B"11100010", B"00011110", B"00100000",
3611
 B"11100100", B"11010100", B"00011010", B"00111110", B"11110110",
3612
 B"11001100", B"11101010", B"11011001", B"11011011", B"00011101",
3613
 B"11101001", B"00011000", B"11100100", B"11011011", B"11010110",
3614
 B"00100100", B"00100000", B"11011111", B"00101010", B"00011000",
3615
 B"11100011", B"11100011", B"11011100", B"11000100", B"11101000",
3616
 B"00011001", B"00010001", B"11110000", B"00001010", B"00101000",
3617
 B"11110001", B"11010111", B"00010101", B"11110010", B"00011110",
3618
 B"11011010", B"00011000", B"11101010", B"11100010", B"00010000",
3619
 B"00111000", B"00100101", B"01000000", B"00000110", B"11011010",
3620
 B"00110001", B"11011001", B"00101001", B"11011101", B"00010101",
3621
 B"00100010", B"00101111", B"00100000", B"00100110", B"00110111",
3622
 B"00100101", B"00001111", B"11010111", B"00011110", B"11010010",
3623
 B"00011011", B"00000010", B"11110110", B"00101001", B"00100011",
3624
 B"11100111", B"11011001", B"00000011", B"00110100", B"01000000",
3625
 B"11100111", B"00110101", B"11100010", B"00110001", B"11011000",
3626
 B"11101111", B"11110110", B"11101000", B"00100000", B"11111111",
3627
 B"00011110", B"11110101", B"11011011", B"00010010", B"11100000",
3628
 B"00110000", B"11100010", B"11011101", B"00011011", B"11110100",
3629
 B"11110010", B"11100000", B"11011110", B"00000100", B"00011111",
3630
 B"00011001", B"00011000", B"11110010", B"11010010", B"11100001",
3631
 B"11000101", B"00010000", B"11111011", B"00001000", B"01001011",
3632
 B"00110000", B"11011111", B"00100001", B"00101011", B"11110101",
3633
 B"00101001", B"00100100", B"11111000", B"00001001", B"11110101",
3634
 B"11111001", B"11001101", B"00110001", B"00100101", B"00010101",
3635
 B"00101011", B"11010111", B"11011111", B"00110111", B"11010011",
3636
 B"00010011", B"11100010", B"11101001", B"00100001", B"11100111",
3637
 B"11111110", B"11110100", B"00011110", B"00101100", B"11010110",
3638
 B"00101010", B"11100010", B"00001110", B"11010010", B"00001100",
3639
 B"11010101", B"11011100", B"11011101", B"00010010", B"00100011",
3640
 B"00100100", B"11100111", B"11001001", B"11011011", B"11100110",
3641
 B"00011101", B"11100110", B"00011000", B"00100011", B"00011110",
3642
 B"11010101", B"11100001", B"00010100", B"00010101", B"11111110",
3643
 B"11110000", B"11011011", B"11010101", B"00100111", B"11000010",
3644
 B"00011000", B"11100100", B"11011111", B"00101010", B"11011100",
3645
 B"11111000", B"00100101", B"00101011", B"00100010", B"00111100",
3646
 B"11010110", B"00000101", B"11001100", B"00011010", B"11100110",
3647
 B"11011100", B"11101101", B"00001101", B"00010110", B"11101110",
3648
 B"11011110", B"11010100", B"10111100", B"00100110", B"00110100",
3649
 B"10111110", B"00101000", B"11111001", B"11100010", B"11111001",
3650
 B"11111010", B"00001100", B"00110001", B"11100000", B"00011000",
3651
 B"11100111", B"00101100", B"00011100", B"00011111", B"11011101",
3652
 B"00011110", B"11000000", B"00101101", B"11001001", B"00011111",
3653
 B"11010101", B"00111101", B"11011010", B"11011011", B"11011101",
3654
 B"00100010", B"11110111", B"11011100", B"11010000", B"11001010",
3655
 B"00011010", B"00111110", B"11010000", B"00101010", B"11100101",
3656
 B"11010001", B"00011011", B"00101111", B"00101111", B"11101100",
3657
 B"00011000", B"11100000", B"00010100", B"00011000", B"11011100",
3658
 B"11001111", B"11011110", B"11010011", B"00010111", B"11100000",
3659
 B"00110010", B"00011011", B"00010011", B"11010001", B"00011110",
3660
 B"00011011", B"11110000", B"11000101", B"00101001", B"00111000",
3661
 B"11101101", B"11010101", B"00101101", B"11011100", B"11001001",
3662
 B"11101011", B"11011100", B"00001101", B"11101111", B"10110111",
3663
 B"00000101", B"11110000", B"00101100", B"11001110", B"00010110",
3664
 B"11101111", B"00011111", B"11100010", B"11011100", B"11100110",
3665
 B"00010010", B"00101101", B"11011110", B"00010010", B"11010010",
3666
 B"00001100", B"00101001", B"11011101", B"00101001", B"00011110",
3667
 B"11110101", B"11011011", B"11100101", B"11111111", B"00011100",
3668
 B"00101000", B"11001111", B"00101011", B"11110110", B"00010010",
3669
 B"00010111", B"11011110", B"00001100", B"00011001", B"11100001",
3670
 B"11010111", B"00000111", B"00011110", B"00101100", B"00001111",
3671
 B"11001010", B"00011100", B"11100001", B"00101001", B"11011100",
3672
 B"11010110", B"11100100", B"11101110", B"00100000", B"00000101",
3673
 B"11001101", B"00101101", B"11011110", B"00011100", B"11100000",
3674
 B"11001011", B"00011111", B"11011001", B"11010101", B"11111111",
3675
 B"11111100", B"11100111", B"00101100", B"11001110", B"00010100",
3676
 B"11010100", B"11010000", B"00101011", B"00100011", B"00101001",
3677
 B"11101000", B"00111010", B"00000010", B"11011100", B"11110111",
3678
 B"00010000", B"00101001", B"11101010", B"00001010", B"00001100",
3679
 B"00101110", B"11100111", B"00010101", B"11101000", B"00010000",
3680
 B"00100110", B"11100111", B"11100010", B"00101011", B"00000011",
3681
 B"11011010", B"11101010", B"11111001", B"11101101", B"00010010",
3682
 B"11011001", B"00101011", B"11010010", B"11110011", B"00001011",
3683
 B"00101110", B"00101100", B"11100110", B"00100001", B"11110011",
3684
 B"11110010", B"11110000", B"00011010", B"00101011", B"11010000",
3685
 B"11100011", B"00100100", B"00010111", B"00011001", B"00110100",
3686
 B"11100001", B"11010111", B"00001101", B"01000110", B"00011000",
3687
 B"11101011", B"00011001", B"11010111", B"00010100", B"11110100",
3688
 B"11010110", B"00001011", B"00110010", B"11011101", B"11010100",
3689
 B"11011001", B"11001010", B"11101000", B"11100011", B"11001100",
3690
 B"00000001", B"00001100", B"00010010", B"11101100", B"00000000",
3691
 B"11110010", B"11010011", B"00110101", B"00011101", B"00001010",
3692
 B"11001101", B"00010110", B"11101111", B"00111010", B"11110101",
3693
 B"00100101", B"00001011", B"00110001", B"11110001", B"00111010",
3694
 B"11101111", B"00101010", B"11010000", B"11101001", B"11001111",
3695
 B"00110101", B"00011010", B"11110110", B"00110000", B"11000111",
3696
 B"00110001", B"11000010", B"01000001", B"11011101", B"11100100",
3697
 B"11110101", B"00001001", B"00100110", B"00101111", B"11110110",
3698
 B"00101010", B"01001011", B"00110100", B"00001101", B"00001101",
3699
 B"00011100", B"11100010", B"00101101", B"00101100", B"00011010",
3700
 B"11101010", B"00011110", B"11011110", B"00100101", B"11101110",
3701
 B"11100010", B"00011100", B"11100001", B"00010010", B"11110100",
3702
 B"00001010", B"00000001", B"11110110", B"11001100", B"00001100",
3703
 B"11011100", B"00011010", B"11011010", B"11111001", B"00101101",
3704
 B"00011000", B"11101000", B"00010111", B"11001001", B"11100000",
3705
 B"11011101", B"00001010", B"00100111", B"00010001", B"00010111",
3706
 B"00100111", B"11000001", B"00010111", B"11001011", B"00000011",
3707
 B"11100110", B"11001001", B"11101001", B"00111010", B"00001010",
3708
 B"00101100", B"00110000", B"11001010", B"11011001", B"11011010",
3709
 B"11000111", B"00000110", B"11011011", B"00101100", B"11111001",
3710
 B"11101010", B"00000110", B"11101010", B"11101011", B"11100100",
3711
 B"00001100", B"00111111", B"11010100", B"01001010", B"11010001",
3712
 B"00011101", B"11101011", B"00111101", B"00011110", B"11110010",
3713
 B"00100001", B"00110101", B"11110000", B"11101111", B"11010010",
3714
 B"00101101", B"11011100", B"00100110", B"11010010", B"11000100",
3715
 B"00100110", B"00010001", B"11000001", B"00011101", B"00100000",
3716
 B"11100011", B"00101000", B"00010011", B"11010010", B"11011101",
3717
 B"00011010", B"11011000", B"11010000", B"11001010", B"00011110",
3718
 B"00101001", B"11001110", B"00100111", B"00011110", B"11110010",
3719
 B"00110000", B"00000111", B"11011000", B"11100010", B"00100001",
3720
 B"11100000", B"11001010", B"11001111", B"00110011", B"00100110",
3721
 B"11011010", B"00001011", B"11010101", B"11100001", B"11110111",
3722
 B"00011011", B"00011110", B"00001001", B"00100010", B"11101111",
3723
 B"11110100", B"00110110", B"11111101", B"11101100", B"00010011",
3724
 B"00001010", B"11100001", B"11010110", B"11110111", B"11011000",
3725
 B"11111111", B"00101000", B"11011110", B"00100011", B"11100000",
3726
 B"11010010", B"00010110", B"00010011", B"00101110", B"00101001",
3727
 B"11110111", B"00010110", B"11011010", B"00011011", B"11101110",
3728
 B"00011110", B"11110101", B"11100011", B"11001110", B"11011000",
3729
 B"00101111", B"11100000", B"00110100", B"00001011", B"00011010",
3730
 B"00010110", B"11110010", B"00111101", B"11100101", B"00101001",
3731
 B"11011111", B"00110000", B"11100101", B"00110011", B"00101100",
3732
 B"11010000", B"00010111", B"00100101", B"11010000", B"00100110",
3733
 B"11100111", B"11101010", B"00100011", B"11101101", B"11010111",
3734
 B"11110101", B"11101101", B"00011101", B"11011000", B"00110001",
3735
 B"00011100", B"11011010", B"00100011", B"00010110", B"11101110",
3736
 B"00100010", B"11001011", B"11110010", B"00101001", B"11011001",
3737
 B"11010110", B"11010100", B"11011100", B"11011001", B"11101110",
3738
 B"11100110", B"00100100", B"00101111", B"11111010", B"00011001",
3739
 B"11101001", B"11010000", B"00110101", B"11010100", B"11000110",
3740
 B"11100100", B"00010011", B"00001001", B"11101110", B"11011000",
3741
 B"00100100", B"11010001", B"00010000", B"11010010", B"11011100",
3742
 B"00010111", B"00010001", B"00100111", B"11010100", B"00001110",
3743
 B"00001001", B"11000111", B"00011001", B"00010100", B"11011100",
3744
 B"11011010", B"00101011", B"11101110", B"11010011", B"11101110",
3745
 B"00110000", B"00111001", B"11011110", B"00011100", B"11011000",
3746
 B"00000111", B"00011101", B"11011000", B"10111010", B"11011010",
3747
 B"11101101", B"11110011", B"00010111", B"11000011", B"00011110",
3748
 B"11010100", B"00000110", B"00011010", B"00101110", B"00111111",
3749
 B"00011000", B"11010111", B"00010011", B"00011010", B"11011110",
3750
 B"11110011", B"00010010", B"11011011", B"11101111", B"00010110",
3751
 B"00111000", B"00010111", B"00011010", B"11100110", B"11101001",
3752
 B"11110111", B"11100001", B"11011100", B"00100010", B"00100011",
3753
 B"11101111", B"00101101", B"11001010", B"00000000", B"00100001",
3754
 B"11100100", B"11101110", B"11111001", B"00011010", B"11101010",
3755
 B"11001100", B"00100000", B"00011010", B"11101101", B"00011100",
3756
 B"00010110", B"11010110", B"11001110", B"00101000", B"00000000",
3757
 B"11000110", B"00110101", B"00011110", B"00010111", B"00100000",
3758
 B"11110001", B"11011011", B"00101000", B"11100100", B"00000111",
3759
 B"00100001", B"11101111", B"11011011", B"11001001", B"11100010",
3760
 B"00101100", B"00100000", B"11011011", B"11100010", B"00100000",
3761
 B"00001000", B"11010011", B"11010100", B"11101100", B"11101100",
3762
 B"11101010", B"00110010", B"00101100", B"11100110", B"00011111",
3763
 B"11100111", B"11100010", B"00110010", B"11100010", B"00011010",
3764
 B"11011101", B"11010111", B"00011011", B"00100011", B"00000011",
3765
 B"11100011", B"00000110", B"11100001", B"11001101", B"00110111",
3766
 B"11001110", B"00100011", B"00110001", B"11011001", B"11110000",
3767
 B"11101111", B"11011110", B"00001010", B"11111000", B"00100110",
3768
 B"00010110", B"00011001", B"11010101", B"00110100", B"00101000",
3769
 B"11101101", B"11100010", B"11111111", B"11001101", B"11100100",
3770
 B"00100011", B"11011100", B"00001110", B"11011111", B"11011011",
3771
 B"00001110", B"11011101", B"01000000", B"00010100", B"00001001",
3772
 B"11110001", B"00001111", B"11110011", B"00101111", B"11011010",
3773
 B"11111001", B"11001010", B"11011110", B"00111111", B"00000000",
3774
 B"00111010", B"00100101", B"11001111", B"00011000", B"11101000",
3775
 B"00011010", B"11001001", B"11110111", B"00011100", B"00011000",
3776
 B"11010100", B"11110100", B"00011110", B"11000110", B"00100110",
3777
 B"11010000", B"11011101", B"00011101", B"11010111", B"00110100",
3778
 B"01000000", B"11110100", B"11100000", B"11011111", B"11100110",
3779
 B"11100110", B"11100111", B"00011100", B"00110001", B"11100010",
3780
 B"00100011", B"11100010", B"11100000", B"11111111", B"00100111",
3781
 B"11100000", B"11100100", B"00010010", B"11001001", B"11000010",
3782
 B"11100110", B"11100101", B"11101001", B"00011001", B"11011111",
3783
 B"00101110", B"11001100", B"11101011", B"00010001", B"11111001",
3784
 B"00101111", B"00011011", B"11011100", B"11010011", B"00001001",
3785
 B"00100011", B"11100000", B"11110000", B"00011111", B"00011001",
3786
 B"11100001", B"00000100", B"00100111", B"11101101", B"11100100",
3787
 B"00011101", B"11010010", B"00100001", B"00011000", B"11010100",
3788
 B"11011001", B"11011100", B"11100010", B"11100011", B"00011111",
3789
 B"00010011", B"00001110", B"00011110", B"00100011", B"00011011",
3790
 B"00010100", B"00110000", B"00101000", B"11001101", B"00100000",
3791
 B"11111010", B"11100111", B"11100101", B"00011101", B"00110101",
3792
 B"11111110", B"11010101", B"00011101", B"00011010", B"11101011",
3793
 B"00100110", B"00010001", B"11101101", B"10111110", B"00010110",
3794
 B"11010101", B"00101100", B"11100100", B"11010010", B"00000110",
3795
 B"00001100", B"11101100", B"11011110", B"11110001", B"00101001",
3796
 B"00110100", B"11001100", B"00100010", B"11101000", B"11011101",
3797
 B"00101101", B"00001001", B"00000101", B"11110010", B"11100000",
3798
 B"11100011", B"11011001", B"00010000", B"11100011", B"11001010",
3799
 B"00010011", B"11011000", B"11101011", B"11011111", B"11101110",
3800
 B"11001111", B"00011001", B"11001100", B"11110111", B"11100010",
3801
 B"00110010", B"00001100", B"11011111", B"11100011", B"00111100",
3802
 B"00100010", B"00011001", B"11101001", B"00100110", B"11100110",
3803
 B"00110011", B"11011101", B"11000010", B"00111010", B"11100000",
3804
 B"00100110", B"11111011", B"11100111", B"00010101", B"11010100",
3805
 B"00011100", B"11011010", B"00101111", B"11011101", B"11011001",
3806
 B"00110101", B"11111110", B"00011111", B"00100000", B"11101011",
3807
 B"00101111", B"00011000", B"11001110", B"11011000", B"00101011",
3808
 B"11010010", B"11101111", B"11011001", B"11010110", B"00011001",
3809
 B"00011110", B"00010101", B"00110110", B"11010110", B"00101001",
3810
 B"00110010", B"00011100", B"11100010", B"00100111", B"11010100",
3811
 B"00100111", B"11110010", B"00100110", B"11010011", B"11001100",
3812
 B"11100101", B"00110100", B"00100011", B"11100011", B"00001111",
3813
 B"11000101", B"11001000", B"00101001", B"11100010", B"11011001",
3814
 B"11010101", B"11011000", B"11111101", B"00111000", B"00100011",
3815
 B"00100101", B"11110111", B"00100101", B"11011101", B"00110001",
3816
 B"11011110", B"00001011", B"11011100", B"00001110", B"11011100",
3817
 B"11011101", B"00110001", B"00101000", B"00110111", B"00001000",
3818
 B"11010111", B"00011100", B"00011011", B"11100010", B"11011000",
3819
 B"00110100", B"11011010", B"11011001", B"00100001", B"00010111",
3820
 B"00111001", B"00101111", B"11001110", B"11000100", B"00010101",
3821
 B"00101010", B"00011000", B"00001111", B"00011011", B"00010110",
3822
 B"00100110", B"01000000", B"11100101", B"00011100", B"00100101",
3823
 B"11011111", B"11011000", B"11101111", B"11101010", B"11100001",
3824
 B"00101001", B"11011100", B"11011101", B"00100101", B"11010100",
3825
 B"11010011", B"11011111", B"11011111", B"11101011", B"11100001",
3826
 B"11011001", B"11110111", B"00010111", B"00100011", B"00110010",
3827
 B"00011100", B"11001110", B"00001111", B"00110000", B"00101001",
3828
 B"11011000", B"00101100", B"11011100", B"00010011", B"00110110",
3829
 B"11010111", B"00101101", B"00011110", B"11010010", B"00001101",
3830
 B"00010100", B"11000011", B"11100100", B"11100000", B"11001011",
3831
 B"11111100", B"00110100", B"00101000", B"00100000", B"00001001",
3832
 B"11110110", B"11110101", B"11110001", B"11011011", B"00101101",
3833
 B"11011100", B"10111011", B"00001001", B"11101100", B"00101110",
3834
 B"11001001", B"11011011", B"10111110", B"00011101", B"00110010",
3835
 B"11101111", B"00001101", B"11110111", B"00001011", B"00011010",
3836
 B"00100111", B"00010101", B"00010001", B"00001100", B"11011000",
3837
 B"00011000", B"11000000", B"00001101", B"11111110", B"11100000",
3838
 B"00110011", B"00111000", B"00100001", B"00110001", B"00110011",
3839
 B"11101000", B"00100000", B"11011011", B"00100100", B"11100111",
3840
 B"00101001", B"00010110", B"11001010", B"11010110", B"00000011",
3841
 B"00010110", B"11011101", B"11011100", B"00000011", B"00100010",
3842
 B"11100100", B"11100010", B"11101000", B"11101110", B"01000100",
3843
 B"11100110", B"11101110", B"00011000", B"11100011", B"00000000",
3844
 B"11010100", B"00001111", B"00011110", B"11010010", B"00111100",
3845
 B"00011100", B"11011011", B"00011100", B"00011101", B"11011101",
3846
 B"11101001", B"00100010", B"00101000", B"11100110", B"11001001",
3847
 B"11110000", B"11010101", B"11110010", B"11110001", B"11100111",
3848
 B"11011010", B"00011111", B"11011000", B"00011011", B"11011001",
3849
 B"11110110", B"00001100", B"00011111", B"11011111", B"11111100",
3850
 B"00010001", B"00100001", B"11101011", B"00011110", B"11010101",
3851
 B"00011110", B"11100110", B"11011100", B"11010011", B"00101000",
3852
 B"00100111", B"11011110", B"00110010", B"11100110", B"00100110",
3853
 B"11110000", B"00101101", B"11110011", B"11100000", B"11101011",
3854
 B"00110100", B"00011110", B"11101100", B"00101000", B"11101010",
3855
 B"11111110", B"11001111", B"11100011", B"00101101", B"00100001",
3856
 B"11100110", B"11010101", B"00100011", B"00100110", B"00111101",
3857
 B"00100111", B"11100111", B"11011001", B"11010111", B"00011011",
3858
 B"10111101", B"00111011", B"11100011", B"11001001", B"01000101",
3859
 B"00000101", B"11011100", B"11101010", B"10110001", B"00001001",
3860
 B"00011101", B"11011011", B"00110101", B"11101110", B"11010011",
3861
 B"11010001", B"00011011", B"11011000", B"00100001", B"11100010",
3862
 B"11100100", B"00011111", B"00100110", B"00101100", B"00001111",
3863
 B"11000100", B"11110001", B"11100000", B"00110000", B"11011110",
3864
 B"00000100", B"11100111", B"00011111", B"11001010", B"11010011",
3865
 B"11011101", B"00100101", B"00010011", B"00011101", B"00100110",
3866
 B"00001001", B"11010110", B"00101000", B"11011011", B"00001100",
3867
 B"11110001", B"00101111", B"11110010", B"11100011", B"00011000",
3868
 B"11111001", B"11000011", B"11100110", B"11110101", B"11100101",
3869
 B"11011001", B"00001111", B"11010111", B"00111001", B"11100101",
3870
 B"10111010", B"00101110", B"11111111", B"11100000", B"11101101",
3871
 B"11100001", B"11110001", B"00001100", B"11101101", B"00101110",
3872
 B"11011110", B"11010111", B"00011001", B"00010011", B"00100000",
3873
 B"00100111", B"11100110", B"11100100", B"11101011", B"11100110",
3874
 B"11110001", B"11100001", B"00001110", B"00101010", B"01000000",
3875
 B"00110000", B"11011001", B"11011000", B"11101011", B"11000011",
3876
 B"11111111", B"00111000", B"00100000", B"00011001", B"00100001",
3877
 B"00101010", B"11100111", B"11011111", B"11111110", B"00100101",
3878
 B"11001010", B"11000011", B"00000010", B"11001111", B"11111100",
3879
 B"11010010", B"11100100", B"00101101", B"11000010", B"00100010",
3880
 B"00100010", B"00001010", B"00101110", B"00110101", B"00000100",
3881
 B"00000101", B"00011101", B"00100011", B"00110000", B"11010111",
3882
 B"11011000", B"00101111", B"11011001", B"11111110", B"11101010",
3883
 B"11100100", B"00100011", B"11011110", B"00101110", B"00010101",
3884
 B"11000100", B"00001110", B"00100111", B"11110101", B"11001010",
3885
 B"00010101", B"00000111", B"11001100", B"11011010", B"11101111",
3886
 B"11000010", B"11101110", B"11101000", B"00001110", B"00001101",
3887
 B"11100000", B"11011011", B"11001110", B"11101000", B"11010011",
3888
 B"00111110", B"11001111", B"00011101", B"00011010", B"11100000",
3889
 B"00011111", B"00100111", B"11011111", B"00011111", B"00001011",
3890
 B"11110011", B"01001001", B"00101001", B"11000111", B"11110101",
3891
 B"00100011", B"10111111", B"00101100", B"11001101", B"11100101",
3892
 B"11001110", B"00001101", B"00000001", B"00001001", B"00101010",
3893
 B"01000101", B"11000010", B"00101010", B"00100000", B"11100111",
3894
 B"11100111", B"00001010", B"11101000", B"11101101", B"00011000",
3895
 B"11110010", B"00010011", B"11001001", B"11101001", B"00010110",
3896
 B"01000100", B"00010110", B"00100100", B"01000011", B"00010010",
3897
 B"00010100", B"00011101", B"00101001", B"11111110", B"11111111",
3898
 B"11101110", B"00001010", B"00001101", B"11100010", B"00101111",
3899
 B"11101111", B"00010001", B"11100010", B"11111010", B"00101001",
3900
 B"11101001", B"00001101", B"11001101", B"11111000", B"11101010",
3901
 B"11010011", B"11011011", B"11010000", B"00110110", B"01000000",
3902
 B"00001101", B"00100011", B"11101000", B"11000111", B"00011100",
3903
 B"11011110", B"00101001", B"11010010", B"11001111", B"00101111",
3904
 B"00100000", B"00001110", B"11010010", B"11101010", B"00010000",
3905
 B"00001101", B"11001111", B"11010010", B"00010110", B"11011111",
3906
 B"11100100", B"11011010", B"11000100", B"00101110", B"10111101",
3907
 B"00000010", B"11001011", B"11110010", B"00100010", B"00011101",
3908
 B"00101101", B"00111011", B"11100110", B"11101101", B"11100011",
3909
 B"11100001", B"11101111", B"00001100", B"00111000", B"11100000",
3910
 B"00100111", B"11111001", B"11011111", B"00011011", B"11101100",
3911
 B"11100100", B"11000101", B"00001010", B"00011101", B"11110001",
3912
 B"11101000", B"11011010", B"00010100", B"11100011", B"00100111",
3913
 B"11001000", B"11101001", B"00010010", B"00100110", B"00101000",
3914
 B"00011011", B"11010111", B"00101010", B"11011111", B"00011011",
3915
 B"11001001", B"10111000", B"11011001", B"00010101", B"11110110",
3916
 B"00101100", B"11011000", B"11100001", B"00001111", B"00110000",
3917
 B"00101111", B"11011110", B"11010011", B"00100000", B"00101000",
3918
 B"11010101", B"11100001", B"00100010", B"00011110", B"11000011",
3919
 B"00110000", B"11111010", B"11101100", B"11101101", B"00100101",
3920
 B"11011110", B"00001011", B"11101101", B"11011110", B"11111001",
3921
 B"00010111", B"00110000", B"11001111", B"00100000", B"00011100",
3922
 B"11111101", B"11011101", B"00001100", B"00100011", B"11010100",
3923
 B"11010010", B"00101011", B"00101110", B"00111011", B"11000100",
3924
 B"00110100", B"11101001", B"00100011", B"11101110", B"11101011",
3925
 B"11001100", B"00100011", B"00000001", B"00011001", B"00010011",
3926
 B"11101001", B"11001010", B"00100011", B"00001110", B"00100000",
3927
 B"11011000", B"00011101", B"11011100", B"00100111", B"11011110",
3928
 B"11100110", B"00100010", B"11010011", B"11100010", B"11001110",
3929
 B"00011010", B"00100110", B"11001001", B"00100011", B"11111110",
3930
 B"11011111", B"11010011", B"11100011", B"00100001", B"11100101",
3931
 B"00100000", B"00011001", B"11011111", B"00101111", B"11110000",
3932
 B"11100010", B"11011111", B"00101001", B"00100010", B"11001010",
3933
 B"00011110", B"11011111", B"00010111", B"11001011", B"11001000",
3934
 B"00011101", B"00010001", B"00000000", B"00110010", B"11010110",
3935
 B"00001000", B"10111111", B"11110110", B"00101101", B"00010110",
3936
 B"00011011", B"00101001", B"00100100", B"11100000", B"00110100",
3937
 B"11101111", B"00101001", B"11110000", B"00101110", B"00011101",
3938
 B"11010100", B"10110101", B"00010001", B"00100001", B"11011110",
3939
 B"11001000", B"11011100", B"11100101", B"11100011", B"00100011",
3940
 B"00101001", B"11101011", B"00100101", B"11000111", B"00110110",
3941
 B"00110110", B"00011101", B"11111111", B"00111011", B"00100011",
3942
 B"00110101", B"00010000", B"11010000", B"11011110", B"00110010",
3943
 B"00101000", B"00100011", B"00100000", B"11101100", B"11110011",
3944
 B"00101100", B"11011010", B"00001000", B"11001000", B"11011111",
3945
 B"00100011", B"11110010", B"00100011", B"00010110", B"00010110",
3946
 B"00111100", B"11011111", B"00101101", B"11101100", B"00010111",
3947
 B"11011111", B"11110100", B"00110011", B"00011100", B"00101001",
3948
 B"11101101", B"00011100", B"11100001", B"00101010", B"00011010",
3949
 B"00011101", B"11101010", B"00110111", B"00010111", B"00000011",
3950
 B"11011110", B"00100001", B"01010011", B"00011000", B"00101000",
3951
 B"00100111", B"00100101", B"00100010", B"00011100", B"00101001",
3952
 B"11101001", B"11010110", B"11100100", B"10111110", B"00110011",
3953
 B"00011000", B"00101001", B"00011101", B"00110100", B"00101011",
3954
 B"11101010", B"00101001", B"00111000", B"11100001", B"11011110",
3955
 B"00100110", B"11110100", B"11101000", B"11011100", B"00100110",
3956
 B"00101010", B"11001000", B"00001011", B"11011101", B"00100010",
3957
 B"11011000", B"11100010", B"11011111", B"11101100", B"00011000",
3958
 B"11100001", B"00010001", B"11111101", B"11010001", B"00110101",
3959
 B"11110010", B"00110001", B"11110011", B"11100000", B"00101011",
3960
 B"00101010", B"00110110", B"11011011", B"11110010", B"00100010",
3961
 B"00110010", B"10111100", B"11101100", B"11111010", B"11101010",
3962
 B"00011110", B"11110001", B"00001111", B"11100100", B"11011111",
3963
 B"00001110", B"11000001", B"00100000", B"00010101", B"11110100",
3964
 B"11110011", B"11010011", B"11101101", B"11001101", B"00110010",
3965
 B"00011110", B"00100001", B"10111101", B"00100110", B"11011111",
3966
 B"00110100", B"11110101", B"00111011", B"11111010", B"11101000",
3967
 B"11001100", B"11100110", B"00001111", B"11101001", B"00010010",
3968
 B"11010100", B"00101001", B"11011100", B"11000101", B"11011101",
3969
 B"00100001", B"00011111", B"11100000", B"00101110", B"11110111",
3970
 B"00011100", B"11110100", B"11101000", B"11110010", B"00001010",
3971
 B"00111000", B"00101001", B"11101100", B"11100001", B"11001111",
3972
 B"00001000", B"00011000", B"11101001", B"00010000", B"00100010",
3973
 B"00010111", B"11011111", B"00010101", B"00010110", B"10111010",
3974
 B"11101101", B"00001000", B"00100101", B"11100010", B"00010101",
3975
 B"00011000", B"11101001", B"00010100", B"00010010", B"11011100",
3976
 B"00101110", B"00111000", B"11100001", B"11110100", B"00100000",
3977
 B"00000101", B"11010010", B"11011110", B"11100000", B"00011010",
3978
 B"11001000", B"11011011", B"11101011", B"00100110", B"00011100",
3979
 B"11100000", B"11001111", B"11011001", B"00000000", B"00100000",
3980
 B"00101010", B"11101000", B"00001100", B"11110011", B"11001000",
3981
 B"11000101", B"11011110", B"00101110", B"00010000", B"11101010",
3982
 B"00101100", B"11100110", B"11100001", B"11010001", B"11110011",
3983
 B"00001101", B"00011000", B"00010100", B"00010000", B"00001100",
3984
 B"11101111", B"11110000", B"00110011", B"11101101", B"00110000",
3985
 B"11100011", B"11010000", B"00100101", B"11010111", B"11001100",
3986
 B"11100101", B"00101000", B"00000111", B"11010110", B"00101010",
3987
 B"11100100", B"11101100", B"00010000", B"00101010", B"11010010",
3988
 B"11001011", B"11100110", B"11001100", B"11011000", B"11100010",
3989
 B"00011101", B"11100110", B"11111001", B"11000101", B"00100101",
3990
 B"00100111", B"11011001", B"00011000", B"11000101", B"11111001",
3991
 B"00010011", B"11101110", B"00110100", B"00100111", B"11110001",
3992
 B"00111010", B"00011000", B"11100000", B"11011000", B"00001101",
3993
 B"00000100", B"11100111", B"11111100", B"11110000", B"00010101",
3994
 B"00001101", B"11010101", B"11100010", B"10111011", B"11011110",
3995
 B"11100100", B"00101110", B"00101000", B"11101101", B"11011110",
3996
 B"00100101", B"00001111", B"11111010", B"11011110", B"11110011",
3997
 B"11110011", B"11010010", B"11110001", B"00110100", B"00011001",
3998
 B"00011110", B"00011100", B"00001100", B"11001111", B"00010101",
3999
 B"00010101", B"11011110", B"00100111", B"00100101", B"11100100",
4000
 B"11101011", B"00011101", B"00011001", B"00101000", B"11100000",
4001
 B"00100000", B"11101010", B"00001110", B"11010101", B"11101101",
4002
 B"11011100", B"00110000", B"11111101", B"11100101", B"00011110",
4003
 B"11011000", B"11011100", B"01000101", B"00100110", B"11010011",
4004
 B"11100110", B"11100011", B"11110110", B"11110010", B"11101111",
4005
 B"11000000", B"11011101", B"11011101", B"00110110", B"00110010",
4006
 B"00101010", B"00100100", B"11001000", B"11110110", B"11011111",
4007
 B"00100010", B"00100101", B"11011010", B"00110101", B"11100101",
4008
 B"11010011", B"11010010", B"00110000", B"00100001", B"00011101",
4009
 B"00001101", B"11100000", B"11011101", B"11100011", B"00011101",
4010
 B"11010001", B"11001011", B"11100110", B"00100100", B"00011010",
4011
 B"11111000", B"00010110", B"11010101", B"11011001", B"11011101",
4012
 B"11101100", B"00100110", B"11011100", B"00110110", B"11010001",
4013
 B"00100100", B"00010010", B"11011010", B"11101111", B"11010101",
4014
 B"11111001", B"11101111", B"00011000", B"00110101", B"00101101",
4015
 B"00110110", B"00010101", B"00011110", B"00001111", B"00101010",
4016
 B"00010100", B"11010110", B"00011110", B"00101001", B"11110001",
4017
 B"00001111", B"00010000", B"11011001", B"11101011", B"00001111",
4018
 B"11011000", B"00100000", B"11110001", B"11100101", B"00100111",
4019
 B"00100010", B"00100100", B"11100010", B"00001001", B"11011000",
4020
 B"11011111", B"11111101", B"00101111", B"00100110", B"00111100",
4021
 B"00010110", B"11100100", B"00101101", B"00000010", B"11100101",
4022
 B"11011000", B"11101110", B"11100000", B"00000101", B"00100001",
4023
 B"11010001", B"11100111", B"11010001", B"11110000", B"11011011",
4024
 B"00011111", B"11011111", B"11011010", B"00100001", B"11110100",
4025
 B"11111110", B"11001101", B"11100100", B"00010110", B"11011000",
4026
 B"11001000", B"11100001", B"11001001", B"00011010", B"11101010",
4027
 B"00100101", B"11101100", B"11101001", B"11110001", B"11010100",
4028
 B"00010111", B"00110100", B"00000110", B"00110001", B"00001111",
4029
 B"10111011", B"11011001", B"11101111", B"11110001", B"00101100",
4030
 B"11100111", B"00011000", B"00101110", B"11011110", B"11011010",
4031
 B"00011101", B"11001110", B"11011000", B"11001101", B"00001100",
4032
 B"11011111", B"00110110", B"01000011", B"00011111", B"11010000",
4033
 B"00010111", B"11101111", B"00101111", B"11110100", B"11011000",
4034
 B"00100110", B"11011101", B"00100000", B"11001100", B"11011001",
4035
 B"00011011", B"00110011", B"00010001", B"11010001", B"11100000",
4036
 B"00101011", B"00011111", B"11001110", B"11100000", B"00101000",
4037
 B"11101010", B"11110000", B"11010100", B"11010101", B"00010011",
4038
 B"11100010", B"00101001", B"11001100", B"11110011", B"00101010",
4039
 B"11110100", B"00100000", B"11010000", B"11110001", B"00011000",
4040
 B"11100000", B"00101010", B"11110111", B"11110100", B"11110111",
4041
 B"00010001", B"00000010", B"11001001", B"00000110", B"00111001",
4042
 B"00100111", B"00100000", B"00101111", B"00101110", B"00101100",
4043
 B"00010111", B"00100011", B"00101101", B"00011000", B"00011001",
4044
 B"00100011", B"00100010", B"00000000", B"00011111", B"11000011",
4045
 B"11110110", B"00011111", B"11100101", B"00101110", B"11011011",
4046
 B"11100000", B"00001110", B"00011110", B"11001101", B"00011111",
4047
 B"00100000", B"11011011", B"00011010", B"00011110", B"11100110",
4048
 B"11010110", B"11010001", B"11100111", B"00000111", B"00101101",
4049
 B"11101110", B"00100110", B"11010011", B"11111111", B"11100000",
4050
 B"11010111", B"00101001", B"11011010", B"11101110", B"11010010",
4051
 B"11100001", B"11100000", B"00011000", B"11100101", B"00101011",
4052
 B"11010001", B"11101010", B"00010101", B"00001110", B"11110110",
4053
 B"11101111", B"00100011", B"00110111", B"11100011", B"00101001",
4054
 B"00011110", B"11001110", B"11011101", B"10110011", B"00000010",
4055
 B"11111000", B"00011110", B"00100111", B"00101000", B"00101001",
4056
 B"11001111", B"00000110", B"11001110", B"00010111", B"11001011",
4057
 B"11101010", B"00100011", B"00101001", B"11010010", B"11011000",
4058
 B"00011010", B"11001111", B"00100000", B"11001010", B"11010001",
4059
 B"00011011", B"11100010", B"11100001", B"00001000", B"11101101",
4060
 B"00011111", B"11011011", B"11100101", B"00001101", B"00011001",
4061
 B"11100000", B"11100100", B"01000101", B"11011001", B"11101010",
4062
 B"11001001", B"11100110", B"11010111", B"11101001", B"00011010",
4063
 B"00101001", B"00011111", B"00111101", B"11110000", B"11001000"
4064
 
4065
);
4066
 
4067
signal input_counter : integer range 0 to 19999 := 0;
4068
signal start_fifo    : bit_vector (7 downto 0) := ( B"0100_0000" );
4069
signal clk : bit;
4070
 
4071
begin
4072
 
4073
process (clk, clear)
4074
begin
4075
if (clear = '1') then
4076
    rxin <= (others => '0');
4077
elsif (clk = '1' and clk'event) then
4078
    rxin <= input_bank(input_counter);
4079
end if;
4080
end process;
4081
 
4082
process (clk, clear)
4083
begin
4084
if (clear = '1') then
4085
    input_counter <= 0;
4086
elsif (clk = '1' and clk'event) then
4087
    if (input_counter < 19999) then
4088
    input_counter <= input_counter + 1;
4089
    else
4090
    input_counter <= 0;
4091
    end if;
4092
end if;
4093
end process;
4094
 
4095
rom_pos <= input_counter;
4096
 
4097
process (clk, clear)
4098
begin
4099
if (clear = '1') then
4100
    start_fifo <= B"0100_0000";
4101
elsif ( clk = '1' and clk'event) then
4102
    start_fifo <= start_fifo (6 downto 0) & start_fifo (7);
4103
end if;
4104
end process;
4105
 
4106
clk   <= clock;
4107
start <= start_fifo (7);
4108
 
4109
end test_bench;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.