OpenCores
URL https://opencores.org/ocsvn/psg16/psg16/trunk

Subversion Repositories psg16

[/] [psg16/] [trunk/] [rtl/] [verilog/] [PSGMasterVolumeControl.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 robfinch
`timescale 1ns / 1ps
2
// ============================================================================
3
//      (C) 2007,2012  Robert Finch
4
//      All rights reserved.
5
//      robfinch<remove>@opencores.org
6
//
7
//      PSGMasterVolumeControl.v 
8
//              Controls the PSG's output volume.
9
//
10
// This source file is free software: you can redistribute it and/or modify 
11
// it under the terms of the GNU Lesser General Public License as published 
12
// by the Free Software Foundation, either version 3 of the License, or     
13
// (at your option) any later version.                                      
14
//                                                                          
15
// This source file is distributed in the hope that it will be useful,      
16
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
17
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
18
// GNU General Public License for more details.                             
19
//                                                                          
20
// You should have received a copy of the GNU General Public License        
21
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
22
//      
23
//============================================================================ */
24
 
25
module PSGMasterVolumeControl(rst_i, clk_i, i, volume, o);
26
input rst_i;
27
input clk_i;
28
input [15:0] i;
29
input [3:0] volume;
30
output [19:0] o;
31
reg [19:0] o;
32
 
33
// Multiply 16x4 bits
34
wire [19:0] v1 = volume[0] ? i : 20'd0;
35
wire [19:0] v2 = volume[1] ? {i,1'b0} + v1: v1;
36
wire [19:0] v3 = volume[2] ? {i,2'b0} + v2: v2;
37
wire [19:0] vo = volume[3] ? {i,3'b0} + v3: v3;
38
 
39
always @(posedge clk_i)
40
        if (rst_i)
41
                o <= 20'b0;              // Force the output volume to zero on reset
42
        else
43
                o <= vo;
44
 
45
endmodule
46
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.