OpenCores
URL https://opencores.org/ocsvn/pss/pss/trunk

Subversion Repositories pss

[/] [pss/] [trunk/] [pss/] [hdl/] [pss/] [pss.v] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 AlexAntono
/*
2
 PSS
3
 
4
 Copyright (c) 2016 Alexander Antonov <153287@niuitmo.ru>
5
 All rights reserved.
6
 
7 5 AlexAntono
 Version 0.99
8 2 AlexAntono
 
9
 The FreeBSD license
10
 
11
 Redistribution and use in source and binary forms, with or without
12
 modification, are permitted provided that the following conditions
13
 are met:
14
 
15
 1. Redistributions of source code must retain the above copyright
16
    notice, this list of conditions and the following disclaimer.
17
 2. Redistributions in binary form must reproduce the above
18
    copyright notice, this list of conditions and the following
19
    disclaimer in the documentation and/or other materials
20
    provided with the distribution.
21
 
22
 THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY
23
 EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
24
 THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A
25
 PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
26
 PSS PROJECT OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
27
 INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
28
 (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
29
 OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
30
 HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
31
 STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
32
 ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
33
 ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
34
*/
35
 
36
 
37
module pss
38
#(
39
        parameter CPU_PRESENT = 1,
40
        parameter CPU_RESET_DEFAULT = 1,
41 7 AlexAntono
        parameter EXT_RESET_DEFAULT = 1,
42 5 AlexAntono
        parameter A31_DEFAULT = 1,
43 2 AlexAntono
        parameter MEM_SIZE_KB = 1,
44
        parameter MEM_DATA = ""
45
)
46
(
47 5 AlexAntono
        input  clk_i,
48
        input  arst_i, srst_i,
49
        output srst_o, ext_rst_o,
50 2 AlexAntono
 
51 5 AlexAntono
        input  rx_i,
52 2 AlexAntono
        output tx_o,
53
 
54 5 AlexAntono
        input [3:0] INT_bi,
55 2 AlexAntono
 
56
        // Expansion bus
57
        output xport_req_o,
58
        input  xport_ack_i,
59
        input  xport_err_i,
60
        output xport_we_o,
61
        output [31:0] xport_addr_bo,
62
        output [31:0] xport_wdata_bo,
63
        input  xport_resp_i,
64
        input  [31:0] xport_rdata_bi
65
);
66
 
67 5 AlexAntono
wire zpu_uc_srst, udm_rst;
68
assign zpu_uc_srst = srst_i | udm_rst;
69 2 AlexAntono
 
70
wire dbg_bus_enb;
71
wire dbg_bus_we;
72
wire [31:0] dbg_bus_addr;
73
wire [31:0] dbg_bus_wdata;
74
wire [31:0] dbg_bus_rdata;
75
wire dbg_bus_resp;
76
 
77
udm udm
78
(
79
        .clk_i(clk_i),
80 5 AlexAntono
        .rst_i(srst_o),
81 2 AlexAntono
 
82
        .rx_i(rx_i),
83
        .tx_o(tx_o),
84
 
85
        .rst_o(udm_rst),
86
        .bus_enb_o(dbg_bus_enb),
87
        .bus_we_o(dbg_bus_we),
88
        .bus_addr_bo(dbg_bus_addr),
89
    .bus_wdata_bo(dbg_bus_wdata),
90
 
91
    .bus_ack_i(dbg_bus_resp),
92
    .bus_rdata_bi(dbg_bus_rdata)
93
);
94
 
95
ZPU_uC
96
#(
97
        .CPU_PRESENT(CPU_PRESENT),
98
        .CPU_RESET_DEFAULT(CPU_RESET_DEFAULT),
99 7 AlexAntono
        .EXT_RESET_DEFAULT(EXT_RESET_DEFAULT),
100 5 AlexAntono
        .A31_DEFAULT(A31_DEFAULT),
101 2 AlexAntono
        .MEM_DATA(MEM_DATA),
102
        .MEM_SIZE_KB(MEM_SIZE_KB)
103
)
104
ZPU_uC
105
(
106
        .clk_i(clk_i),
107
 
108 5 AlexAntono
        .arst_i(arst_i),
109
        .srst_o(srst_o),
110
 
111
        .srst_i(zpu_uc_srst),
112
        .ext_rst_o(ext_rst_o),
113
 
114
        .INT_bi(INT_bi),
115
 
116 2 AlexAntono
        // Expansion bus
117
        .xport_req_o(xport_req_o),
118
        .xport_ack_i(xport_ack_i),
119
        .xport_err_i(xport_err_i),
120
        .xport_we_o(xport_we_o),
121
        .xport_addr_bo(xport_addr_bo),
122
        .xport_wdata_bo(xport_wdata_bo),
123
        .xport_resp_i(xport_resp_i),
124
        .xport_rdata_bi(xport_rdata_bi),
125
 
126
        //Debug interface
127
        .dbg_enb_i(dbg_bus_enb),
128
        .dbg_wr_i(dbg_bus_we),
129
        .dbg_addr_bi(dbg_bus_addr),
130
        .dbg_data_bi(dbg_bus_wdata),
131
        .dbg_resp_o(dbg_bus_resp),
132
        .dbg_data_bo(dbg_bus_rdata)
133
);
134
 
135
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.