OpenCores
URL https://opencores.org/ocsvn/pulse_processing_algorithm/pulse_processing_algorithm/trunk

Subversion Repositories pulse_processing_algorithm

[/] [pulse_processing_algorithm/] [SISO_add_a.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 panda_emc
-----------------------------------------------------------------------------------------------
2
--
3
--    Copyright (C) 2011 Peter Lemmens, PANDA collaboration
4
--              p.j.j.lemmens@rug.nl
5
--    http://www-panda.gsi.de
6
--
7
--    As a reference, please use:
8
--    E. Guliyev, M. Kavatsyuk, P.J.J. Lemmens, G. Tambave, H. Loehner,
9
--    "VHDL Implementation of Feature-Extraction Algorithm for the PANDA Electromagnetic Calorimeter"
10
--    Nuclear Inst. and Methods in Physics Research, A ....
11
--
12
--
13
--    This program is free software; you can redistribute it and/or modify
14
--    it under the terms of the GNU Lesser General Public License as published by
15
--    the Free Software Foundation; either version 3 of the License, or
16
--    (at your option) any later version.
17
--
18
--    This program is distributed in the hope that it will be useful,
19
--    but WITHOUT ANY WARRANTY; without even the implied warranty of
20
--    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
21
--    GNU Lesser General Public License for more details.
22
--
23
--    You should have received a copy of the GNU General Public License
24
--    along with this program; if not, write to the Free Software
25
--    Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111 USA
26
--
27
-----------------------------------------------------------------------------------------------
28
-----------------------------------------------------------------------------------------------
29
-- Company              :       KVI (Kernfysisch Versneller Instituut  -- Groningen, The Netherlands    
30
-- Author               :       P.J.J. Lemmens
31
-- Design Name  :       Feature Extraction
32
-- Module Name  :       SISO_add_a 
33
-- Description  :       Signed In Signed Out Adder Asynchronous
34
--                                              
35
-----------------------------------------------------------------------------------------------
36
 
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
use IEEE.STD_LOGIC_ARITH.ALL;
40
use IEEE.STD_LOGIC_SIGNED.ALL;
41
 
42
entity SISO_add_a is
43
        Port (dataa             : in  STD_LOGIC_VECTOR;
44
                        datab           : in  STD_LOGIC_VECTOR;
45
                        result  : out  STD_LOGIC_VECTOR
46
                        );
47
end SISO_add_a;
48
 
49
architecture Behavioral of SISO_add_a is
50
 
51
        constant        WIDTH           : natural               := dataa'length;
52
        constant        MAXVAL  : integer               := 2**(WIDTH - 1) - 1;
53
        constant        MINVAL  : integer               := - 2**(WIDTH - 1);
54
 
55
        signal a_in_S           : std_logic_vector(WIDTH downto 0)       := (others => '0');
56
        signal b_in_S           : std_logic_vector(WIDTH downto 0)       := (others => '0');
57
        signal sum_S            : std_logic_vector(WIDTH downto 0)       := (others => '0');
58
        signal status_S : std_logic_vector(1 downto 0)           := (others => '0');
59
--      signal overflow_S       : std_logic                                                                     := '0';
60
 
61
begin
62
        a_in_S          <= dataa(dataa'high) & dataa;
63
        b_in_S          <= datab(datab'high) & datab;
64
 
65
        sum_S           <=      conv_std_logic_vector((conv_integer(signed(a_in_S)) + conv_integer(signed(b_in_S))), WIDTH + 1);
66
        status_S                <= sum_S(WIDTH) & sum_S(WIDTH - 1);
67
--      overflow_S      <= sum_S(WIDTH) XOR sum_S(WIDTH - 1);
68
 
69
        result          <=      conv_std_logic_vector(MAXVAL, WIDTH)    when    (status_S = b"01") else
70
                                                conv_std_logic_vector(MINVAL, WIDTH)    when    (status_S = b"10") else
71
                                                conv_std_logic_vector(conv_integer(signed(sum_S)), WIDTH);
72
 
73
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.