OpenCores
URL https://opencores.org/ocsvn/pulse_processing_algorithm/pulse_processing_algorithm/trunk

Subversion Repositories pulse_processing_algorithm

[/] [pulse_processing_algorithm/] [ddr2_dm.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 panda_emc
--******************************************************************************
2
--
3
--  Xilinx, Inc. 2002                 www.xilinx.com
4
--
5
--
6
--*******************************************************************************
7
--
8
--  File name :       ddr2_dm.vhd
9
--
10
--  Description :     This module instantiates DDR IOB output flip-flops, and an 
11
--                    output buffer for the data mask bits.   
12
--                   
13
--  Date - revision : 07/31/2003
14
--
15
--
16
-- 
17
--*****************************************************************************
18
library ieee;
19
use ieee.std_logic_1164.all;
20
use ieee.std_logic_unsigned.all;
21
 
22
-- pragma translate_off
23
library UNISIM;
24
use UNISIM.VCOMPONENTS.ALL;
25
-- pragma translate_on
26
--
27
 
28
entity ddr2_dm is
29
port (
30
      ddr_dm       : out std_logic_vector(1 downto 0);   --Data mask output
31
      mask_falling : in std_logic_vector(1 downto 0);    --Mask output on falling edge
32
      mask_rising  : in std_logic_vector(1 downto 0);    --Mask output on rising edge
33
      clk90        : in std_logic;    --Clock 90
34
      clk270       : in std_logic
35
      );
36
end ddr2_dm;
37
 
38
architecture arc_ddr2_dm of ddr2_dm is
39
 
40
 
41
component FDDRRSE
42
port( Q  : out std_logic;
43
      C0 : in std_logic;
44
      C1 : in std_logic;
45
      CE : in std_logic;
46
      D0 : in std_logic;
47
      D1 : in std_logic;
48
      R  : in std_logic;
49
      S  : in std_logic);
50
end component;
51
 
52
component OBUF
53
port (
54
       I : in std_logic;
55
       O : out std_logic);
56
end component;
57
 
58
 
59
--***********************************************************************\
60
--     Internal signal declaration
61
--***********************************************************************/
62
 
63
signal mask_o    : std_logic_vector(1 downto 0);  -- Mask output intermediate signal
64
signal gnd       : std_logic;
65
signal vcc       : std_logic;
66
 
67
begin
68
 
69
gnd      <= '0';
70
vcc      <= '1';
71
 
72
-- Data Mask Output during a write command
73
 
74
DDR_DM0_OUT : FDDRRSE port map (
75
                               Q  => mask_o(0),
76
                               C0 => clk270,
77
                               C1 => clk90,
78
                               CE => vcc,
79
                               D0 => mask_rising(0),
80
                               D1 => mask_falling(0),
81
                               R  => gnd,
82
                               S  => gnd
83
                              );
84
 
85
DDR_DM1_OUT : FDDRRSE port map (
86
                               Q  => mask_o(1),
87
                               C0 => clk270,
88
                               C1 => clk90,
89
                               CE => vcc,
90
                               D0 => mask_rising(1),
91
                               D1 => mask_falling(1),
92
                               R  => gnd,
93
                               S  => gnd
94
                              );
95
 
96
 
97
 
98
 
99
DM0_OBUF : OBUF port map (
100
                         I => mask_o(0),
101
                         O => ddr_dm(0)
102
                        );
103
 
104
DM1_OBUF : OBUF port map (
105
                         I => mask_o(1),
106
                         O => ddr_dm(1)
107
                        );
108
 
109
 
110
 
111
end arc_ddr2_dm;
112
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.