OpenCores
URL https://opencores.org/ocsvn/pulse_processing_algorithm/pulse_processing_algorithm/trunk

Subversion Repositories pulse_processing_algorithm

[/] [pulse_processing_algorithm/] [fifo_0_wr_en.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 panda_emc
 
2
--  fifo_wr_en is derived  by ORing -
3
--  "rst_dqs_div" , delayed rst_dqs_div with negedge of the ddr_dqs 
4
 
5
LIBRARY ieee;
6
USE ieee.std_logic_1164.all;
7
USE ieee.std_logic_arith.all;
8
 
9
-- pragma translate_off
10
library UNISIM;
11
use UNISIM.VCOMPONENTS.ALL;
12
-- pragma translate_on
13
--
14
 
15
 
16
 
17
ENTITY fifo_0_wr_en IS
18
-- Declarations
19
port (
20
                clk             :       in std_logic;
21
                reset           :       in std_logic;
22
                din             :       in std_logic;
23
                rst_dqs_delay_n :                       out std_logic;
24
                dout            :       out std_logic
25
          );
26
END fifo_0_wr_en ;
27
 
28
-- hds interface_end
29
ARCHITECTURE fifo_0_wr_en OF fifo_0_wr_en IS
30
 
31
component FDCE
32
    port(
33
      Q                              :  out   STD_ULOGIC;
34
      C                              :  in    STD_ULOGIC;
35
      CE                             :  in    STD_ULOGIC;
36
      CLR                            :  in    STD_ULOGIC;
37
      D                              :  in    STD_ULOGIC
38
      );
39
end component;
40
 
41
 
42
 
43
attribute syn_keep : boolean;  -- Using Syn_Keep Derictive
44
 
45
signal  din_delay       :       STD_ULOGIC;
46
signal  TIE_HIGH    :   STD_ULOGIC;
47
 
48
 
49
BEGIN
50
 
51
        rst_dqs_delay_n <= not din_delay;
52
        dout <= din or din_delay;
53
        TIE_HIGH <= '1';
54
 
55
 
56
delay_ff : FDCE port map (
57
                      Q   => din_delay,
58
                      C   => clk,
59
                      CE  => TIE_HIGH,
60
                      CLR => reset,
61
                      D   => din
62
                     );
63
 
64
END fifo_0_wr_en;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.