OpenCores
URL https://opencores.org/ocsvn/pulse_processing_algorithm/pulse_processing_algorithm/trunk

Subversion Repositories pulse_processing_algorithm

[/] [pulse_processing_algorithm/] [fifo_1_wr_en.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 panda_emc
 
2
--  fifo_wr_en is derived  by ORing -
3
--  "rst_dqs_div" , delayed rst_dqs_div with negedge of the ddr_dqs 
4
 
5
LIBRARY ieee;
6
USE ieee.std_logic_1164.all;
7
USE ieee.std_logic_arith.all;
8
 
9
-- pragma translate_off
10
library UNISIM;
11
use UNISIM.VCOMPONENTS.ALL;
12
-- pragma translate_on
13
--
14
 
15
 
16
 
17
                  ENTITY fifo_1_wr_en IS
18
-- Declarations
19
port (
20
 
21
                clk             :  in std_logic;
22
                rst_dqs_delay_n : in std_logic;
23
                reset           :       in std_logic;
24
                din             :       in std_logic;
25
                dout            :       out std_logic
26
          );
27
END fifo_1_wr_en ;
28
 
29
-- hds interface_end
30
ARCHITECTURE fifo_1_wr_en OF fifo_1_wr_en IS
31
 
32
component FDCE
33
    port(
34
      Q                              :  out   STD_LOGIC;
35
      C                              :  in    STD_LOGIC;
36
      CE                             :  in    STD_LOGIC;
37
      CLR                            :  in    STD_LOGIC;
38
      D                              :  in    STD_LOGIC
39
      );
40
end component;
41
 
42
 
43
 
44
attribute syn_keep : boolean;  -- Using Syn_Keep Derictive
45
 
46
--signal        din_delay       :       STD_ULOGIC;
47
signal  din_delay_1     :       STD_LOGIC;
48
 
49
signal          TIE_HIGH    :   STD_ULOGIC;
50
 
51
signal dout0 : STD_ULOGIC;
52
signal rst_dqs_delay : std_logic;
53
 
54
BEGIN
55
 
56
        rst_dqs_delay <= not rst_dqs_delay_n;
57
        dout0 <= din and rst_dqs_delay_n;
58
        dout <= rst_dqs_delay or din_delay_1;
59
        TIE_HIGH <= '1';
60
 
61
 
62
delay_ff_1 : FDCE port map (
63
                      Q   => din_delay_1,
64
                      C   => clk,
65
                      CE  => TIE_HIGH,
66
                      CLR => reset,
67
                      D   => dout0
68
                     );
69
 
70
 
71
 
72
END fifo_1_wr_en;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.