OpenCores
URL https://opencores.org/ocsvn/pulse_processing_algorithm/pulse_processing_algorithm/trunk

Subversion Repositories pulse_processing_algorithm

[/] [pulse_processing_algorithm/] [sys_clk_dcm.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 panda_emc
--******************************************************************************
2
--
3
--*******************************************************************************
4
--
5
--  File name :       sys_clk_dcm.vhd
6
--
7
--*****************************************************************************
8
library ieee;
9
use ieee.std_logic_1164.all;
10
--
11
-- pragma translate_off
12
library UNISIM;
13
use UNISIM.VCOMPONENTS.ALL;
14
-- pragma translate_on
15
--
16
entity sys_clk_dcm is
17
port(
18
   sys_clk_in  : in std_logic;
19
   rst      : in std_logic;
20
   sys_clk_out         : out std_logic;
21
   sys_clkfx_out  : out std_logic;
22
   dcm_sys_clock_locked : out std_logic;
23
        sys_clk_div2 : out std_logic);
24
end sys_clk_dcm;
25
 
26
 
27
 
28
architecture arc_sys_clk_dcm of sys_clk_dcm is
29
 
30
attribute syn_keep : boolean;
31
attribute xc_props : string;
32
 
33
 
34
component DCM
35
-- pragma translate_off
36
--    generic ( 
37
--             DLL_FREQUENCY_MODE    : string := "LOW";
38
--             DUTY_CYCLE_CORRECTION : boolean := TRUE
39
--            );  
40
 
41
   generic  (
42
                CLKDV_DIVIDE : real := 2.0; -- peter
43
      CLKFX_DIVIDE    : integer := 1 ;
44
      CLKFX_MULTIPLY  : integer := 4
45
        ) ; --  Delay configuration DONE until DCM LOCK, TRUE/FALSE
46
 
47
-- pragma translate_on
48
 
49
    port ( CLKIN     : in  std_logic;
50
           CLKFB     : in  std_logic;
51
           DSSEN     : in  std_logic;
52
           PSINCDEC  : in  std_logic;
53
           PSEN      : in  std_logic;
54
           PSCLK     : in  std_logic;
55
           RST       : in  std_logic;
56
           CLK0      : out std_logic;
57
           CLK90     : out std_logic;
58
           CLK180    : out std_logic;
59
           CLK270    : out std_logic;
60
           CLK2X     : out std_logic;
61
           CLK2X180  : out std_logic;
62
           CLKDV     : out std_logic;
63
           CLKFX     : out std_logic;
64
           CLKFX180  : out std_logic;
65
           LOCKED    : out std_logic;
66
           PSDONE    : out std_logic;
67
           STATUS    : out std_logic_vector(7 downto 0)
68
          );
69
end component;
70
 
71
 
72
 
73
 component myBUFG
74
  port ( I : in std_logic;
75
         O : out std_logic);
76
 end component;
77
 
78
-- signal VCC             : std_logic;
79
 signal GND             : std_logic;
80
 
81
 signal sys_clk0             : std_logic;
82
 signal sys_clkfx            : std_logic;
83
 
84
 signal sys_bufg_clk0        : std_logic;
85
 signal sys_bufg_clkfx       : std_logic;
86
 
87
attribute CLKFX_DIVIDE : integer;
88
attribute CLKFX_MULTIPLY : integer;
89
 
90
attribute CLKFX_MULTIPLY of DCM_INST_FX    : label is 10; --11 mod. 26.10.2006
91
attribute CLKFX_DIVIDE of DCM_INST_FX    : label is 10;
92
 
93
--attribute DLL_FREQUENCY_MODE : string; 
94
--attribute DUTY_CYCLE_CORRECTION : string;
95
--attribute CLKIN_DIVIDE_BY_2     : string;
96
 
97
--attribute DLL_FREQUENCY_MODE of DCM_INST1    : label is "LOW";
98
--attribute DUTY_CYCLE_CORRECTION of DCM_INST1 : label is "TRUE";
99
--attribute CLKIN_DIVIDE_BY_2 of DCM_100       : label is "TRUE";
100
 
101
 
102
 
103
begin
104
 
105
--vcc <= '1';
106
gnd <= '0';
107
 
108
 
109
DCM_INST_FX :  DCM
110
                 port map ( CLKIN    => sys_clk_in,
111
                            CLKFB    => sys_bufg_clk0,
112
                            DSSEN    => gnd,
113
                            PSINCDEC => gnd,
114
                            PSEN     => gnd,
115
                            PSCLK    => gnd,
116
                            RST      => RST,
117
                            CLK0     => sys_clk0,
118
                            CLK90    => open,
119
                            CLK180   => open,
120
                            CLK270   => open,
121
                                      CLK2X    => open,
122
                            CLK2X180 => open,
123
                            CLKDV    => sys_clk_div2, -- peter
124
                            CLKFX    => sys_clkfx,
125
                            CLKFX180 => open,
126
                            LOCKED   => dcm_sys_clock_locked,
127
                            PSDONE   => open,
128
                            STATUS   => open);
129
 
130
 
131
BUFG_SYS_CLK0    : myBUFG port map ( I => sys_clk0 ,  O => sys_bufg_clk0);
132
 
133
BUFG_SYS_CLKFX   : myBUFG port map ( I => sys_clkfx, O => sys_bufg_clkfx);
134
 
135
 
136
 
137
 
138
sys_clk_out       <=       sys_bufg_clk0;
139
sys_clkfx_out     <=       sys_bufg_clkfx;
140
 
141
 
142
 
143
 
144
 
145
end arc_sys_clk_dcm;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.