OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [zed_board/] [src/] [reg_file_v1_0_S00_AXI.v] - Blame information for rev 47

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 qaztronic
 
2
`timescale 1 ns / 1 ps
3
 
4
        module reg_file_v1_0_S00_AXI #
5
        (
6
                // Users to add parameters here
7
 
8
                // User parameters ends
9
                // Do not modify the parameters beyond this line
10
 
11
                // Width of S_AXI data bus
12
                parameter integer C_S_AXI_DATA_WIDTH    = 32,
13
                // Width of S_AXI address bus
14
                parameter integer C_S_AXI_ADDR_WIDTH    = 4
15
        )
16
        (
17
                // Users to add ports here
18
 
19
                // User ports ends
20
                // Do not modify the ports beyond this line
21
 
22
                // Global Clock Signal
23
                input wire  S_AXI_ACLK,
24
                // Global Reset Signal. This Signal is Active LOW
25
                input wire  S_AXI_ARESETN,
26
                // Write address (issued by master, acceped by Slave)
27
                input wire [C_S_AXI_ADDR_WIDTH-1 : 0] S_AXI_AWADDR,
28
                // Write channel Protection type. This signal indicates the
29
                // privilege and security level of the transaction, and whether
30
                // the transaction is a data access or an instruction access.
31
                input wire [2 : 0] S_AXI_AWPROT,
32
                // Write address valid. This signal indicates that the master signaling
33
                // valid write address and control information.
34
                input wire  S_AXI_AWVALID,
35
                // Write address ready. This signal indicates that the slave is ready
36
                // to accept an address and associated control signals.
37
                output wire  S_AXI_AWREADY,
38
                // Write data (issued by master, acceped by Slave) 
39
                input wire [C_S_AXI_DATA_WIDTH-1 : 0] S_AXI_WDATA,
40
                // Write strobes. This signal indicates which byte lanes hold
41
                // valid data. There is one write strobe bit for each eight
42
                // bits of the write data bus.    
43
                input wire [(C_S_AXI_DATA_WIDTH/8)-1 : 0] S_AXI_WSTRB,
44
                // Write valid. This signal indicates that valid write
45
                // data and strobes are available.
46
                input wire  S_AXI_WVALID,
47
                // Write ready. This signal indicates that the slave
48
                // can accept the write data.
49
                output wire  S_AXI_WREADY,
50
                // Write response. This signal indicates the status
51
                // of the write transaction.
52
                output wire [1 : 0] S_AXI_BRESP,
53
                // Write response valid. This signal indicates that the channel
54
                // is signaling a valid write response.
55
                output wire  S_AXI_BVALID,
56
                // Response ready. This signal indicates that the master
57
                // can accept a write response.
58
                input wire  S_AXI_BREADY,
59
                // Read address (issued by master, acceped by Slave)
60
                input wire [C_S_AXI_ADDR_WIDTH-1 : 0] S_AXI_ARADDR,
61
                // Protection type. This signal indicates the privilege
62
                // and security level of the transaction, and whether the
63
                // transaction is a data access or an instruction access.
64
                input wire [2 : 0] S_AXI_ARPROT,
65
                // Read address valid. This signal indicates that the channel
66
                // is signaling valid read address and control information.
67
                input wire  S_AXI_ARVALID,
68
                // Read address ready. This signal indicates that the slave is
69
                // ready to accept an address and associated control signals.
70
                output wire  S_AXI_ARREADY,
71
                // Read data (issued by slave)
72
                output wire [C_S_AXI_DATA_WIDTH-1 : 0] S_AXI_RDATA,
73
                // Read response. This signal indicates the status of the
74
                // read transfer.
75
                output wire [1 : 0] S_AXI_RRESP,
76
                // Read valid. This signal indicates that the channel is
77
                // signaling the required read data.
78
                output wire  S_AXI_RVALID,
79
                // Read ready. This signal indicates that the master can
80
                // accept the read data and response information.
81
                input wire  S_AXI_RREADY,
82
 
83
    output reg [C_S_AXI_DATA_WIDTH-1:0]  slv_reg0,
84
    output reg [C_S_AXI_DATA_WIDTH-1:0]  slv_reg1,
85
    output reg [C_S_AXI_DATA_WIDTH-1:0]  slv_reg2,
86
    output reg [C_S_AXI_DATA_WIDTH-1:0]  slv_reg3
87
 
88
        );
89
 
90
        // AXI4LITE signals
91
        reg [C_S_AXI_ADDR_WIDTH-1 : 0]   axi_awaddr;
92
        reg     axi_awready;
93
        reg     axi_wready;
94
        reg [1 : 0]      axi_bresp;
95
        reg     axi_bvalid;
96
        reg [C_S_AXI_ADDR_WIDTH-1 : 0]   axi_araddr;
97
        reg     axi_arready;
98
        reg [C_S_AXI_DATA_WIDTH-1 : 0]   axi_rdata;
99
        reg [1 : 0]      axi_rresp;
100
        reg     axi_rvalid;
101
 
102
        // Example-specific design signals
103
        // local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH
104
        // ADDR_LSB is used for addressing 32/64 bit registers/memories
105
        // ADDR_LSB = 2 for 32 bits (n downto 2)
106
        // ADDR_LSB = 3 for 64 bits (n downto 3)
107
        localparam integer ADDR_LSB = (C_S_AXI_DATA_WIDTH/32) + 1;
108
        localparam integer OPT_MEM_ADDR_BITS = 1;
109
        //----------------------------------------------
110
        //-- Signals for user logic register space example
111
        //------------------------------------------------
112
        //-- Number of Slave Registers 4
113
        // reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg0;
114
        // reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg1;
115
        // reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg2;
116
        // reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg3;
117
        wire     slv_reg_rden;
118
        wire     slv_reg_wren;
119
        reg [C_S_AXI_DATA_WIDTH-1:0]      reg_data_out;
120
        integer  byte_index;
121
 
122
        // I/O Connections assignments
123
 
124
        assign S_AXI_AWREADY    = axi_awready;
125
        assign S_AXI_WREADY     = axi_wready;
126
        assign S_AXI_BRESP      = axi_bresp;
127
        assign S_AXI_BVALID     = axi_bvalid;
128
        assign S_AXI_ARREADY    = axi_arready;
129
        assign S_AXI_RDATA      = axi_rdata;
130
        assign S_AXI_RRESP      = axi_rresp;
131
        assign S_AXI_RVALID     = axi_rvalid;
132
        // Implement axi_awready generation
133
        // axi_awready is asserted for one S_AXI_ACLK clock cycle when both
134
        // S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is
135
        // de-asserted when reset is low.
136
 
137
        always @( posedge S_AXI_ACLK )
138
        begin
139
          if ( S_AXI_ARESETN == 1'b0 )
140
            begin
141
              axi_awready <= 1'b0;
142
            end
143
          else
144
            begin
145
              if (~axi_awready && S_AXI_AWVALID && S_AXI_WVALID)
146
                begin
147
                  // slave is ready to accept write address when 
148
                  // there is a valid write address and write data
149
                  // on the write address and data bus. This design 
150
                  // expects no outstanding transactions. 
151
                  axi_awready <= 1'b1;
152
                end
153
              else
154
                begin
155
                  axi_awready <= 1'b0;
156
                end
157
            end
158
        end
159
 
160
        // Implement axi_awaddr latching
161
        // This process is used to latch the address when both 
162
        // S_AXI_AWVALID and S_AXI_WVALID are valid. 
163
 
164
        always @( posedge S_AXI_ACLK )
165
        begin
166
          if ( S_AXI_ARESETN == 1'b0 )
167
            begin
168
              axi_awaddr <= 0;
169
            end
170
          else
171
            begin
172
              if (~axi_awready && S_AXI_AWVALID && S_AXI_WVALID)
173
                begin
174
                  // Write Address latching 
175
                  axi_awaddr <= S_AXI_AWADDR;
176
                end
177
            end
178
        end
179
 
180
        // Implement axi_wready generation
181
        // axi_wready is asserted for one S_AXI_ACLK clock cycle when both
182
        // S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is 
183
        // de-asserted when reset is low. 
184
 
185
        always @( posedge S_AXI_ACLK )
186
        begin
187
          if ( S_AXI_ARESETN == 1'b0 )
188
            begin
189
              axi_wready <= 1'b0;
190
            end
191
          else
192
            begin
193
              if (~axi_wready && S_AXI_WVALID && S_AXI_AWVALID)
194
                begin
195
                  // slave is ready to accept write data when 
196
                  // there is a valid write address and write data
197
                  // on the write address and data bus. This design 
198
                  // expects no outstanding transactions. 
199
                  axi_wready <= 1'b1;
200
                end
201
              else
202
                begin
203
                  axi_wready <= 1'b0;
204
                end
205
            end
206
        end
207
 
208
        // Implement memory mapped register select and write logic generation
209
        // The write data is accepted and written to memory mapped registers when
210
        // axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to
211
        // select byte enables of slave registers while writing.
212
        // These registers are cleared when reset (active low) is applied.
213
        // Slave register write enable is asserted when valid address and data are available
214
        // and the slave is ready to accept the write address and write data.
215
        assign slv_reg_wren = axi_wready && S_AXI_WVALID && axi_awready && S_AXI_AWVALID;
216
 
217
        always @( posedge S_AXI_ACLK )
218
        begin
219
          if ( S_AXI_ARESETN == 1'b0 )
220
            begin
221
              slv_reg0 <= 0;
222
              slv_reg1 <= 0;
223
              slv_reg2 <= 0;
224
              slv_reg3 <= 0;
225
            end
226
          else begin
227
            if (slv_reg_wren)
228
              begin
229
                case ( axi_awaddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] )
230
                  2'h0:
231
                    for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
232
                      if ( S_AXI_WSTRB[byte_index] == 1 ) begin
233
                        // Respective byte enables are asserted as per write strobes 
234
                        // Slave register 0
235
                        slv_reg0[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
236
                      end
237
                  2'h1:
238
                    for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
239
                      if ( S_AXI_WSTRB[byte_index] == 1 ) begin
240
                        // Respective byte enables are asserted as per write strobes 
241
                        // Slave register 1
242
                        slv_reg1[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
243
                      end
244
                  2'h2:
245
                    for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
246
                      if ( S_AXI_WSTRB[byte_index] == 1 ) begin
247
                        // Respective byte enables are asserted as per write strobes 
248
                        // Slave register 2
249
                        slv_reg2[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
250
                      end
251
                  2'h3:
252
                    for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
253
                      if ( S_AXI_WSTRB[byte_index] == 1 ) begin
254
                        // Respective byte enables are asserted as per write strobes 
255
                        // Slave register 3
256
                        slv_reg3[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
257
                      end
258
                  default : begin
259
                              slv_reg0 <= slv_reg0;
260
                              slv_reg1 <= slv_reg1;
261
                              slv_reg2 <= slv_reg2;
262
                              slv_reg3 <= slv_reg3;
263
                            end
264
                endcase
265
              end
266
          end
267
        end
268
 
269
        // Implement write response logic generation
270
        // The write response and response valid signals are asserted by the slave 
271
        // when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted.  
272
        // This marks the acceptance of address and indicates the status of 
273
        // write transaction.
274
 
275
        always @( posedge S_AXI_ACLK )
276
        begin
277
          if ( S_AXI_ARESETN == 1'b0 )
278
            begin
279
              axi_bvalid  <= 0;
280
              axi_bresp   <= 2'b0;
281
            end
282
          else
283
            begin
284
              if (axi_awready && S_AXI_AWVALID && ~axi_bvalid && axi_wready && S_AXI_WVALID)
285
                begin
286
                  // indicates a valid write response is available
287
                  axi_bvalid <= 1'b1;
288
                  axi_bresp  <= 2'b0; // 'OKAY' response 
289
                end                   // work error responses in future
290
              else
291
                begin
292
                  if (S_AXI_BREADY && axi_bvalid)
293
                    //check if bready is asserted while bvalid is high) 
294
                    //(there is a possibility that bready is always asserted high)   
295
                    begin
296
                      axi_bvalid <= 1'b0;
297
                    end
298
                end
299
            end
300
        end
301
 
302
        // Implement axi_arready generation
303
        // axi_arready is asserted for one S_AXI_ACLK clock cycle when
304
        // S_AXI_ARVALID is asserted. axi_awready is 
305
        // de-asserted when reset (active low) is asserted. 
306
        // The read address is also latched when S_AXI_ARVALID is 
307
        // asserted. axi_araddr is reset to zero on reset assertion.
308
 
309
        always @( posedge S_AXI_ACLK )
310
        begin
311
          if ( S_AXI_ARESETN == 1'b0 )
312
            begin
313
              axi_arready <= 1'b0;
314
              axi_araddr  <= 32'b0;
315
            end
316
          else
317
            begin
318
              if (~axi_arready && S_AXI_ARVALID)
319
                begin
320
                  // indicates that the slave has acceped the valid read address
321
                  axi_arready <= 1'b1;
322
                  // Read address latching
323
                  axi_araddr  <= S_AXI_ARADDR;
324
                end
325
              else
326
                begin
327
                  axi_arready <= 1'b0;
328
                end
329
            end
330
        end
331
 
332
        // Implement axi_arvalid generation
333
        // axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both 
334
        // S_AXI_ARVALID and axi_arready are asserted. The slave registers 
335
        // data are available on the axi_rdata bus at this instance. The 
336
        // assertion of axi_rvalid marks the validity of read data on the 
337
        // bus and axi_rresp indicates the status of read transaction.axi_rvalid 
338
        // is deasserted on reset (active low). axi_rresp and axi_rdata are 
339
        // cleared to zero on reset (active low).  
340
        always @( posedge S_AXI_ACLK )
341
        begin
342
          if ( S_AXI_ARESETN == 1'b0 )
343
            begin
344
              axi_rvalid <= 0;
345
              axi_rresp  <= 0;
346
            end
347
          else
348
            begin
349
              if (axi_arready && S_AXI_ARVALID && ~axi_rvalid)
350
                begin
351
                  // Valid read data is available at the read data bus
352
                  axi_rvalid <= 1'b1;
353
                  axi_rresp  <= 2'b0; // 'OKAY' response
354
                end
355
              else if (axi_rvalid && S_AXI_RREADY)
356
                begin
357
                  // Read data is accepted by the master
358
                  axi_rvalid <= 1'b0;
359
                end
360
            end
361
        end
362
 
363
        // Implement memory mapped register select and read logic generation
364
        // Slave register read enable is asserted when valid address is available
365
        // and the slave is ready to accept the read address.
366
        assign slv_reg_rden = axi_arready & S_AXI_ARVALID & ~axi_rvalid;
367
        always @(*)
368
        begin
369
              // Address decoding for reading registers
370
              case ( axi_araddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] )
371
                2'h0   : reg_data_out <= slv_reg0;
372
                2'h1   : reg_data_out <= slv_reg1;
373
                2'h2   : reg_data_out <= slv_reg2;
374
                2'h3   : reg_data_out <= slv_reg3;
375
                default : reg_data_out <= 0;
376
              endcase
377
        end
378
 
379
        // Output register or memory read data
380
        always @( posedge S_AXI_ACLK )
381
        begin
382
          if ( S_AXI_ARESETN == 1'b0 )
383
            begin
384
              axi_rdata  <= 0;
385
            end
386
          else
387
            begin
388
              // When there is a valid read address (S_AXI_ARVALID) with 
389
              // acceptance of read address by the slave (axi_arready), 
390
              // output the read dada 
391
              if (slv_reg_rden)
392
                begin
393
                  axi_rdata <= reg_data_out;     // register read data
394
                end
395
            end
396
        end
397
 
398
        // Add user logic here
399
 
400
        // User logic ends
401
 
402
        endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.