OpenCores
URL https://opencores.org/ocsvn/qfp32/qfp32/trunk

Subversion Repositories qfp32

[/] [qfp32/] [trunk/] [Quartus/] [QFP.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mgraep
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2011 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 32-bit
20
# Version 11.1 Build 259 01/25/2012 Service Pack 2 SJ Web Edition
21
# Date created = 20:17:24  November 22, 2013
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               QFP_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone IV E"
40
set_global_assignment -name DEVICE EP4CE22F17C6
41
set_global_assignment -name TOP_LEVEL_ENTITY top
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "11.1 SP2"
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:17:24  NOVEMBER 22, 2013"
44
set_global_assignment -name LAST_QUARTUS_VERSION "11.1 SP2"
45
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
46
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
47
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
48
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
49
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
50
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
51
set_location_assignment PIN_R8 -to clock_50
52
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to clock_50
53
set_location_assignment PIN_A15 -to led[0]
54
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to led[0]
55
set_location_assignment PIN_A13 -to led[1]
56
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to led[1]
57
set_location_assignment PIN_B13 -to led[2]
58
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to led[2]
59
set_location_assignment PIN_A11 -to led[3]
60
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to led[3]
61
set_location_assignment PIN_D1 -to led[4]
62
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to led[4]
63
set_location_assignment PIN_F3 -to led[5]
64
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to led[5]
65
set_location_assignment PIN_B1 -to led[6]
66
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to led[6]
67
set_location_assignment PIN_L3 -to led[7]
68
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to led[7]
69
set_location_assignment PIN_J15 -to key[0]
70
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to key[0]
71
set_location_assignment PIN_E1 -to key[1]
72
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to key[1]
73
set_location_assignment PIN_M1 -to sw[0]
74
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sw[0]
75
set_location_assignment PIN_T8 -to sw[1]
76
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sw[1]
77
set_location_assignment PIN_B9 -to sw[2]
78
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sw[2]
79
set_location_assignment PIN_M15 -to sw[3]
80
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sw[3]
81
set_location_assignment PIN_P2 -to dram_addr[0]
82
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[0]
83
set_location_assignment PIN_N5 -to dram_addr[1]
84
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[1]
85
set_location_assignment PIN_N6 -to dram_addr[2]
86
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[2]
87
set_location_assignment PIN_M8 -to dram_addr[3]
88
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[3]
89
set_location_assignment PIN_P8 -to dram_addr[4]
90
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[4]
91
set_location_assignment PIN_T7 -to dram_addr[5]
92
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[5]
93
set_location_assignment PIN_N8 -to dram_addr[6]
94
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[6]
95
set_location_assignment PIN_T6 -to dram_addr[7]
96
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[7]
97
set_location_assignment PIN_R1 -to dram_addr[8]
98
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[8]
99
set_location_assignment PIN_P1 -to dram_addr[9]
100
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[9]
101
set_location_assignment PIN_N2 -to dram_addr[10]
102
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[10]
103
set_location_assignment PIN_N1 -to dram_addr[11]
104
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[11]
105
set_location_assignment PIN_L4 -to dram_addr[12]
106
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_addr[12]
107
set_location_assignment PIN_M7 -to dram_ba[0]
108
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_ba[0]
109
set_location_assignment PIN_M6 -to dram_ba[1]
110
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_ba[1]
111
set_location_assignment PIN_L7 -to dram_cke
112
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_cke
113
set_location_assignment PIN_R4 -to dram_clk
114
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_clk
115
set_location_assignment PIN_P6 -to dram_cs_n
116
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_cs_n
117
set_location_assignment PIN_G2 -to dram_dq[0]
118
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[0]
119
set_location_assignment PIN_G1 -to dram_dq[1]
120
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[1]
121
set_location_assignment PIN_L8 -to dram_dq[2]
122
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[2]
123
set_location_assignment PIN_K5 -to dram_dq[3]
124
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[3]
125
set_location_assignment PIN_K2 -to dram_dq[4]
126
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[4]
127
set_location_assignment PIN_J2 -to dram_dq[5]
128
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[5]
129
set_location_assignment PIN_J1 -to dram_dq[6]
130
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[6]
131
set_location_assignment PIN_R7 -to dram_dq[7]
132
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[7]
133
set_location_assignment PIN_T4 -to dram_dq[8]
134
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[8]
135
set_location_assignment PIN_T2 -to dram_dq[9]
136
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[9]
137
set_location_assignment PIN_T3 -to dram_dq[10]
138
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[10]
139
set_location_assignment PIN_R3 -to dram_dq[11]
140
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[11]
141
set_location_assignment PIN_R5 -to dram_dq[12]
142
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[12]
143
set_location_assignment PIN_P3 -to dram_dq[13]
144
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[13]
145
set_location_assignment PIN_N3 -to dram_dq[14]
146
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[14]
147
set_location_assignment PIN_K1 -to dram_dq[15]
148
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dq[15]
149
set_location_assignment PIN_R6 -to dram_dqm[0]
150
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dqm[0]
151
set_location_assignment PIN_T5 -to dram_dqm[1]
152
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_dqm[1]
153
set_location_assignment PIN_L1 -to dram_cas_n
154
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_cas_n
155
set_location_assignment PIN_L2 -to dram_ras_n
156
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_ras_n
157
set_location_assignment PIN_C2 -to dram_we_n
158
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dram_we_n
159
set_location_assignment PIN_F2 -to i2c_sclk
160
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to i2c_sclk
161
set_location_assignment PIN_F1 -to i2c_sdat
162
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to i2c_sdat
163
set_location_assignment PIN_G5 -to g_sensor_cs_n
164
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to g_sensor_cs_n
165
set_location_assignment PIN_M2 -to g_sensor_int
166
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to g_sensor_int
167
set_location_assignment PIN_A10 -to adc_cs_n
168
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to adc_cs_n
169
set_location_assignment PIN_B10 -to adc_saddr
170
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to adc_saddr
171
set_location_assignment PIN_B14 -to adc_sclk
172
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to adc_sclk
173
set_location_assignment PIN_A9 -to adc_sdat
174
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to adc_sdat
175
set_location_assignment PIN_A14 -to gpio_2[0]
176
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[0]
177
set_location_assignment PIN_B16 -to gpio_2[1]
178
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[1]
179
set_location_assignment PIN_C14 -to gpio_2[2]
180
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[2]
181
set_location_assignment PIN_C16 -to gpio_2[3]
182
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[3]
183
set_location_assignment PIN_C15 -to gpio_2[4]
184
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[4]
185
set_location_assignment PIN_D16 -to gpio_2[5]
186
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[5]
187
set_location_assignment PIN_D15 -to gpio_2[6]
188
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[6]
189
set_location_assignment PIN_D14 -to gpio_2[7]
190
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[7]
191
set_location_assignment PIN_F15 -to gpio_2[8]
192
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[8]
193
set_location_assignment PIN_F16 -to gpio_2[9]
194
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[9]
195
set_location_assignment PIN_F14 -to gpio_2[10]
196
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[10]
197
set_location_assignment PIN_G16 -to gpio_2[11]
198
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[11]
199
set_location_assignment PIN_G15 -to gpio_2[12]
200
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2[12]
201
set_location_assignment PIN_E15 -to gpio_2_in[0]
202
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2_in[0]
203
set_location_assignment PIN_E16 -to gpio_2_in[1]
204
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2_in[1]
205
set_location_assignment PIN_M16 -to gpio_2_in[2]
206
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_2_in[2]
207
set_location_assignment PIN_A8 -to gnd[0]
208
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[0]
209
set_location_assignment PIN_D3 -to gnd[2]
210
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[2]
211
set_location_assignment PIN_B8 -to gnd[1]
212
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[1]
213
set_location_assignment PIN_C3 -to wm8731_bclk_0
214
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_bclk_0
215
set_location_assignment PIN_A2 -to wm8731_daclrc_0
216
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_daclrc_0
217
set_location_assignment PIN_A3 -to wm8731_dacdat_0
218
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_dacdat_0
219
set_location_assignment PIN_B3 -to wm8731_adcdat_0
220
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_adcdat_0
221
set_location_assignment PIN_B4 -to wm8731_adclrc_0
222
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_adclrc_0
223
set_location_assignment PIN_A4 -to wm8731_csb_0
224
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_csb_0
225
set_location_assignment PIN_B5 -to wm8731_sdin_0
226
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_sdin_0
227
set_location_assignment PIN_A5 -to wm8731_sclk_0
228
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_sclk_0
229
set_location_assignment PIN_D5 -to gnd[3]
230
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[3]
231
set_location_assignment PIN_B6 -to wm8731_mclk_0
232
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_mclk_0
233
set_location_assignment PIN_A6 -to gnd[4]
234
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[4]
235
set_location_assignment PIN_B7 -to dp83848_mdc
236
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_mdc
237
set_location_assignment PIN_D6 -to gnd[5]
238
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[5]
239
set_location_assignment PIN_A7 -to dp83848_rx_dv
240
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_rx_dv
241
set_location_assignment PIN_C6 -to dp83848_rx_clk
242
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_rx_clk
243
set_location_assignment PIN_C8 -to dp83848_rx_er
244
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_rx_er
245
set_location_assignment PIN_E6 -to dp83848_rx_crs
246
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_rx_crs
247
set_location_assignment PIN_E7 -to dp83848_rxd[0]
248
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_rxd[0]
249
set_location_assignment PIN_D8 -to dp83848_rx_col
250
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_rx_col
251
set_location_assignment PIN_E8 -to dp83848_rxd[1]
252
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_rxd[1]
253
set_location_assignment PIN_F8 -to dp83848_mdio
254
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_mdio
255
set_location_assignment PIN_F9 -to ftdi_rxd
256
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ftdi_rxd
257
set_location_assignment PIN_E9 -to ftdi_txd
258
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ftdi_txd
259
set_location_assignment PIN_C9 -to dp83848_tx_en
260
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_tx_en
261
set_location_assignment PIN_D9 -to dp83848_rxd[3]
262
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_rxd[3]
263
set_location_assignment PIN_E11 -to dp83848_txd[3]
264
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_txd[3]
265
set_location_assignment PIN_E10 -to dp83848_txd[1]
266
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_txd[1]
267
set_location_assignment PIN_C11 -to dp83848_txd[0]
268
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_txd[0]
269
set_location_assignment PIN_B11 -to dp83848_tx_clk
270
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_tx_clk
271
set_location_assignment PIN_A12 -to dp83848_txd[2]
272
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to dp83848_txd[2]
273
set_location_assignment PIN_D11 -to gnd[6]
274
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[6]
275
set_location_assignment PIN_D12 -to gnd[7]
276
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[7]
277
set_location_assignment PIN_B12 -to gnd[8]
278
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[8]
279
set_location_assignment PIN_T9 -to gnd[9]
280
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[9]
281
set_location_assignment PIN_F13 -to wm8731_bclk_1
282
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_bclk_1
283
set_location_assignment PIN_R9 -to gnd[10]
284
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[10]
285
set_location_assignment PIN_T15 -to gnd[11]
286
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[11]
287
set_location_assignment PIN_T14 -to wm8731_daclrc_1
288
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_daclrc_1
289
set_location_assignment PIN_T13 -to wm8731_dacdat_1
290
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_dacdat_1
291
set_location_assignment PIN_R13 -to wm8731_adcdat_1
292
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_adcdat_1
293
set_location_assignment PIN_T12 -to wm8731_adclrc_1
294
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_adclrc_1
295
set_location_assignment PIN_R12 -to wm8731_csb_1
296
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_csb_1
297
set_location_assignment PIN_T11 -to wm8731_sdin_1
298
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_sdin_1
299
set_location_assignment PIN_T10 -to wm8731_sclk_1
300
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_sclk_1
301
set_location_assignment PIN_R11 -to gnd[12]
302
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[12]
303
set_location_assignment PIN_P11 -to wm8731_mclk_1
304
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to wm8731_mclk_1
305
set_location_assignment PIN_R10 -to gnd[13]
306
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[13]
307
set_location_assignment PIN_N12 -to sd_sclk
308
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sd_sclk
309
set_location_assignment PIN_P9 -to sd_cdi_n
310
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sd_cdi_n
311
set_location_assignment PIN_N9 -to sd_dat[1]
312
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sd_dat[1]
313
set_location_assignment PIN_N11 -to sd_dat[0]
314
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sd_dat[0]
315
set_location_assignment PIN_L16 -to sd_cmd
316
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sd_cmd
317
set_location_assignment PIN_K16 -to sd_dat[3]
318
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sd_dat[3]
319
set_location_assignment PIN_R16 -to sd_dat[2]
320
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sd_dat[2]
321
set_location_assignment PIN_L15 -to sd_wp
322
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sd_wp
323
set_location_assignment PIN_P15 -to gnd[14]
324
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[14]
325
set_location_assignment PIN_P16 -to gnd[15]
326
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gnd[15]
327
set_location_assignment PIN_N15 -to gpio_1[24]
328
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_1[24]
329
set_location_assignment PIN_P14 -to gpio_1[25]
330
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_1[25]
331
set_location_assignment PIN_L14 -to gpio_1[26]
332
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_1[26]
333
set_location_assignment PIN_N14 -to gpio_1[27]
334
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_1[27]
335
set_location_assignment PIN_M10 -to gpio_1[28]
336
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_1[28]
337
set_location_assignment PIN_L13 -to gpio_1[29]
338
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_1[29]
339
set_location_assignment PIN_J16 -to gpio_1[30]
340
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_1[30]
341
set_location_assignment PIN_K15 -to gpio_1[31]
342
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_1[31]
343
set_location_assignment PIN_J13 -to gpio_1[32]
344
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_1[32]
345
set_location_assignment PIN_J14 -to gpio_1[33]
346
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to gpio_1[33]
347
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
348
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
349
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
350
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
351
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
352
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to wm8731_bclk_0
353
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to wm8731_daclrc_0
354
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to wm8731_dacdat_0
355
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to wm8731_adcdat_0
356
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to wm8731_adclrc_0
357
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to wm8731_csb_0
358
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to wm8731_sdin_0
359
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to wm8731_sclk_0
360
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to wm8731_mclk_0
361
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to ftdi_rxd
362
set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to ftdi_txd
363
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT EXTRA
364
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED
365
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON
366
set_global_assignment -name OPTIMIZE_HOLD_TIMING OFF
367
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING OFF
368
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
369
set_global_assignment -name FITTER_EFFORT "AUTO FIT"
370
set_global_assignment -name POWER_AUTO_COMPUTE_TJ ON
371
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
372
set_global_assignment -name VHDL_FILE ../Units/divider.vhd
373
set_global_assignment -name VHDL_FILE top.vhd
374
set_global_assignment -name VHDL_FILE ../Units/recp.vhd
375
set_global_assignment -name VHDL_FILE ../Units/norm.vhd
376
set_global_assignment -name VHDL_FILE ../Units/mul.vhd
377
set_global_assignment -name VHDL_FILE ../Units/misc.vhd
378
set_global_assignment -name VHDL_FILE ../Units/add.vhd
379
set_global_assignment -name VHDL_FILE ../unit.vhd
380
set_global_assignment -name VHDL_FILE ../qfp_p.vhd
381
set_global_assignment -name VHDL_FILE ../cla.vhd
382
set_global_assignment -name SDC_FILE QFP.sdc
383
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.