OpenCores
URL https://opencores.org/ocsvn/qfp32/qfp32/trunk

Subversion Repositories qfp32

[/] [qfp32/] [trunk/] [Quartus/] [QFP.sdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mgraep
## Generated SDC file "QFP.sdc"
2
 
3
## Copyright (C) 1991-2011 Altera Corporation
4
## Your use of Altera Corporation's design tools, logic functions
5
## and other software and tools, and its AMPP partner logic
6
## functions, and any output files from any of the foregoing
7
## (including device programming or simulation files), and any
8
## associated documentation or information are expressly subject
9
## to the terms and conditions of the Altera Program License
10
## Subscription Agreement, Altera MegaCore Function License
11
## Agreement, or other applicable license agreement, including,
12
## without limitation, that your use is for the sole purpose of
13
## programming logic devices manufactured by Altera and sold by
14
## Altera or its authorized distributors.  Please refer to the
15
## applicable agreement for further details.
16
 
17
 
18
## VENDOR  "Altera"
19
## PROGRAM "Quartus II"
20
## VERSION "Version 11.1 Build 259 01/25/2012 Service Pack 2 SJ Web Edition"
21
 
22
## DATE    "Tue Jul 01 23:52:29 2014"
23
 
24
##
25
## DEVICE  "EP4CE22F17C6"
26
##
27
 
28
 
29
#**************************************************************
30
# Time Information
31
#**************************************************************
32
 
33
set_time_format -unit ns -decimal_places 3
34
 
35
 
36
 
37
#**************************************************************
38
# Create Clock
39
#**************************************************************
40
 
41
create_clock -name {clk} -period 6.000 -waveform { 0.000 3.000 } [get_ports {clock_50}]
42
 
43
 
44
#**************************************************************
45
# Create Generated Clock
46
#**************************************************************
47
 
48
 
49
 
50
#**************************************************************
51
# Set Clock Latency
52
#**************************************************************
53
 
54
 
55
 
56
#**************************************************************
57
# Set Clock Uncertainty
58
#**************************************************************
59
 
60
set_clock_uncertainty -rise_from [get_clocks {clk}] -rise_to [get_clocks {clk}]  0.020
61
set_clock_uncertainty -rise_from [get_clocks {clk}] -fall_to [get_clocks {clk}]  0.020
62
set_clock_uncertainty -fall_from [get_clocks {clk}] -rise_to [get_clocks {clk}]  0.020
63
set_clock_uncertainty -fall_from [get_clocks {clk}] -fall_to [get_clocks {clk}]  0.020
64
 
65
 
66
#**************************************************************
67
# Set Input Delay
68
#**************************************************************
69
 
70
 
71
 
72
#**************************************************************
73
# Set Output Delay
74
#**************************************************************
75
 
76
 
77
 
78
#**************************************************************
79
# Set Clock Groups
80
#**************************************************************
81
 
82
 
83
 
84
#**************************************************************
85
# Set False Path
86
#**************************************************************
87
 
88
 
89
 
90
#**************************************************************
91
# Set Multicycle Path
92
#**************************************************************
93
 
94
set_multicycle_path -setup -end -from [get_registers {din[6] din[7] din[8] din[9] din[10] din[11] din[12] din[13] din[14] din[15] din[16] din[17] din[18] din[19] din[20] din[21] din[22] din[23] din[24] din[25] din[26] din[27] din[28] din[29] din[30] din[31] din[32] din[33] din[34] din[38] din[39] din[40] din[41] din[42] din[43] din[44] din[45] din[46] din[47] din[48] din[49] din[50] din[51] din[52] din[53] din[54] din[55] din[56] din[57] din[58] din[59] din[60] din[61] din[62] din[63] din[64] din[65] din[66]}] -to [get_registers {qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[0] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[1] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[2] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[3] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[4] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[5] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[6] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[7] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[8] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[9] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[10] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[11] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[12] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[13] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[14] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[15] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[16] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[17] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[18] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[19] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[20] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[21] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[22] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[23] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[24] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[25] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[26] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[27] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[28] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[29] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[30] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[31] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[32] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[33] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[34] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[35] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[36] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[37] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[38] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[39] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[40] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[41] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[42] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[43] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[44] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[45] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[46] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[47] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[48] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[49] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[50] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[51] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[52] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[53] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[54] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[55] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[56] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[57]}] 2
95
set_multicycle_path -hold -end -from [get_registers {din[6] din[7] din[8] din[9] din[10] din[11] din[12] din[13] din[14] din[15] din[16] din[17] din[18] din[19] din[20] din[21] din[22] din[23] din[24] din[25] din[26] din[27] din[28] din[29] din[30] din[31] din[32] din[33] din[34] din[38] din[39] din[40] din[41] din[42] din[43] din[44] din[45] din[46] din[47] din[48] din[49] din[50] din[51] din[52] din[53] din[54] din[55] din[56] din[57] din[58] din[59] din[60] din[61] din[62] din[63] din[64] din[65] din[66]}] -to [get_registers {qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[0] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[1] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[2] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[3] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[4] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[5] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[6] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[7] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[8] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[9] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[10] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[11] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[12] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[13] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[14] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[15] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[16] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[17] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[18] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[19] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[20] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[21] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[22] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[23] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[24] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[25] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[26] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[27] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[28] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[29] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[30] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[31] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[32] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[33] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[34] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[35] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[36] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[37] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[38] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[39] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[40] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[41] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[42] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[43] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[44] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[45] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[46] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[47] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[48] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[49] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[50] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[51] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[52] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[53] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[54] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[55] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[56] qfp_unit:qfp_unit_1|qfp32_mul:qfp32_mul_1|p2_result[57]}] 1
96
set_multicycle_path -setup -end -from [get_registers {din[6] din[7] din[8] din[9] din[10] din[11] din[12] din[13] din[14] din[15] din[16] din[17] din[18] din[19] din[20] din[21] din[22] din[23] din[24] din[25] din[26] din[27] din[28] din[29] din[30] din[31] din[32] din[33] din[34] din[35] din[36] din[37] din[38] din[39] din[40] din[41] din[42] din[43] din[44] din[45] din[46] din[47] din[48] din[49] din[50] din[51] din[52] din[53] din[54] din[55] din[56] din[57] din[58] din[59] din[60] din[61] din[62] din[63] din[64] din[65] din[66] din[67] din[68] din[69]}] -to [get_fanouts [get_registers *\|qfp32_divider*\|start_1d]] 2
97
set_multicycle_path -hold -end -from [get_registers {din[6] din[7] din[8] din[9] din[10] din[11] din[12] din[13] din[14] din[15] din[16] din[17] din[18] din[19] din[20] din[21] din[22] din[23] din[24] din[25] din[26] din[27] din[28] din[29] din[30] din[31] din[32] din[33] din[34] din[35] din[36] din[37] din[38] din[39] din[40] din[41] din[42] din[43] din[44] din[45] din[46] din[47] din[48] din[49] din[50] din[51] din[52] din[53] din[54] din[55] din[56] din[57] din[58] din[59] din[60] din[61] din[62] din[63] din[64] din[65] din[66] din[67] din[68] din[69]}] -to [get_fanouts [get_registers *\|qfp32_divider*\|start_1d]] 1
98
# Set Maximum Delay
99
#**************************************************************
100
 
101
 
102
 
103
#**************************************************************
104
# Set Minimum Delay
105
#**************************************************************
106
 
107
 
108
 
109
#**************************************************************
110
# Set Input Transition
111
#**************************************************************
112
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.