OpenCores
URL https://opencores.org/ocsvn/qfp32/qfp32/trunk

Subversion Repositories qfp32

[/] [qfp32/] [trunk/] [Test/] [test_p.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mgraep
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use IEEE.numeric_std.all;
4
 
5
package qfp32_test_p is
6
 
7
  type result_array_t is array (natural range <>) of std_ulogic_vector(31 downto 0);
8
 
9
  type qfp_test_t is record
10
    a : std_ulogic_vector(31 downto 0);
11
    b : std_ulogic_vector(31 downto 0);
12
    gt : std_ulogic;
13
    eq : std_ulogic;
14
    results : result_array_t(6 downto 0);
15
  end record qfp_test_t;
16
 
17
  type qfp_test_vector_t is array (natural range <>) of qfp_test_t;
18
 
19
end package qfp32_test_p;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.