OpenCores
URL https://opencores.org/ocsvn/qfp32/qfp32/trunk

Subversion Repositories qfp32

[/] [qfp32/] [trunk/] [Units/] [add.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 mgraep
-- Copyright (c) 2013 Malte Graeper (mgraep@t-online.de) All rights reserved.
2
 
3 2 mgraep
library IEEE;
4
use IEEE.std_logic_1164.all;
5
 
6
library work;
7
use work.qfp_p.all;
8
 
9
package qfp32_add_p is
10
 
11
  type qfp32_SCMD_ADD_t is (QFP_ADD,QFP_SUB);
12
 
13
  constant QFP_SCMD_ADD : qfp_scmd_t := "00";
14
  constant QFP_SCMD_SUB : qfp_scmd_t := "01";
15
 
16
end package qfp32_add_p;
17
 
18
library IEEE;
19
use IEEE.std_logic_1164.all;
20
use IEEE.numeric_std.all;
21
 
22
library work;
23
use work.qfp_p.all;
24
use work.cla_p.all;
25
use work.qfp32_add_p.all;
26
 
27
entity qfp32_add is
28
 
29
  port (
30
    clk_i     : in  std_ulogic;
31
    reset_n_i : in  std_ulogic;
32
 
33
    cmd_i : in qfp_scmd_t;
34
 
35
    start_i : in std_ulogic;
36
    ready_o : out std_ulogic;
37
 
38
    regA_i : in  qfp32_t;
39
    regB_i : in  qfp32_t;
40
 
41
    complete_o : out std_ulogic;
42
    result_o   : out qfp32_raw_t;
43
 
44
    cmp_le_o  : out std_ulogic);-- regA <= regB
45
 
46
end qfp32_add;
47
 
48
architecture Rtl of qfp32_add is
49
 
50
  signal p1_gt : std_ulogic;
51
  signal p1_fmt : qfp_fmt_t;
52
  signal p1_mant_a : unsigned(29 downto 0);
53
  signal p1_mant_b : unsigned(29 downto 0);
54
  signal p1_cy : std_ulogic;
55
  signal p1_op_a : unsigned(29 downto 0);
56
  signal p1_op_b : unsigned(29 downto 0);
57
  signal p1_clag1 : cla_level_t(7 downto 0);
58
  signal p1_clag2 : cla_level_t(1 downto 0);
59
  signal p1_cmp_gt : std_ulogic;
60
  signal p1_is_add : std_ulogic;
61
  signal p1_exp1 : std_ulogic_vector(2 downto 0);
62
 
63
  signal p2_complete : std_ulogic;
64
  signal p2_gt : std_ulogic;
65
  signal p2_fmt : qfp_fmt_t;
66
  signal p2_cy : std_ulogic;
67
  signal p2_op_a : unsigned(29 downto 0);
68
  signal p2_op_b : unsigned(29 downto 0);
69
  signal p2_clag1 : cla_level_t(7 downto 0);
70
  signal p2_clag2 : cla_level_t(1 downto 0);
71
  signal p2_is_add : std_ulogic;
72
 
73
  signal p2_exp1 : std_ulogic_vector(2 downto 0);
74
  signal p2_exp2 : std_ulogic_vector(8 downto 0);
75
 
76
  signal p2_result : unsigned(29 downto 0);
77
  signal p2_sign : std_ulogic;
78
  signal p2_cmp_gt : std_ulogic;
79
 
80
begin  -- Rtl
81
 
82
  process (clk_i, reset_n_i)
83
  begin  -- process
84
    if reset_n_i = '0' then             -- asynchronous reset (active low)
85
      p2_gt <= '0';
86
      p2_fmt <= (to_unsigned(0,2),'0');
87
      p2_is_add <= '0';
88
      p2_cy <= '0';
89
      p2_op_a <= to_unsigned(0,30);
90
      p2_op_b <= to_unsigned(0,30);
91
      p2_clag1 <= (others => ('0','0'));
92
      p2_clag2 <= (others => ('0','0'));
93
      p2_complete <= '0';
94
      p2_cmp_gt <= '0';
95
      --p2_exp1 <= (others => '0');-- 6Mhz faster design without this reset value
96
    elsif clk_i'event and clk_i = '1' then  -- rising clock edge
97
      p2_complete <= start_i;
98
 
99
      if start_i = '1' then
100
        p2_gt <= p1_gt;
101
        p2_fmt <= p1_fmt;
102
        p2_is_add <= p1_is_add;
103
        p2_cy <= p1_cy;
104
        p2_op_a <= p1_op_a;
105
        p2_op_b <= p1_op_b;
106
        p2_clag1 <= p1_clag1;
107
        p2_clag2 <= p1_clag2;
108
        p2_cmp_gt <= p1_cmp_gt;
109
        p2_exp1 <= p1_exp1;
110
      end if;
111
 
112
    end if;
113
  end process;
114
 
115
  pp: process (cmd_i, p1_clag1, p1_clag2, p1_cy, p1_fmt.exp, p1_gt,
116
               p1_mant_a(0), p1_mant_a(29 downto 1), p1_mant_b(0),
117
               p1_mant_b(29 downto 1), p1_op_a, p1_op_b, p2_clag1, p2_exp1,
118
               p2_exp2, p2_fmt.exp, p2_fmt.sign, p2_is_add, p2_op_a, p2_op_b,
119
               p2_result(28 downto 0), p2_result(29), p2_sign, regA_i.fmt.exp,
120
               regA_i.fmt.sign, regA_i.mant, regB_i.fmt.exp, regB_i.fmt.sign,
121
               regB_i.mant)
122
 
123
  begin  -- process
124
 
125
    --------------------------------------------------------------------------------------------------------------------
126
    -- stage 1
127
    --------------------------------------------------------------------------------------------------------------------
128
 
129
    -- check if mantissa of regb > rega
130
    p1_gt <= '0';
131
    if regb_i.fmt.exp > rega_i.fmt.exp or (regb_i.fmt.exp = rega_i.fmt.exp and fast_gt(regb_i.mant,rega_i.mant)) then
132
      p1_gt <= '1';
133
    end if;
134
 
135
    -- adjust mantissa to be aligned
136
    if regA_i.fmt.exp < regB_i.fmt.exp then
137
      p1_fmt.exp <= regB_i.fmt.exp;
138
    else
139
      p1_fmt.exp <= regA_i.fmt.exp;
140
    end if;
141
 
142
    -- determine sign
143
    if p1_gt = '1' then -- b > a
144
      -- greater value determines sign; invert sign if b > a and will be substracted
145
      if cmd_i = QFP_SCMD_SUB then
146
        p1_fmt.sign <= not regB_i.fmt.sign;
147
      else
148
        p1_fmt.sign <= regB_i.fmt.sign;
149
      end if;
150
    else
151
      p1_fmt.sign <= regA_i.fmt.sign;
152
    end if;
153
 
154
    -- extend for rounding
155
    p1_mant_a <= fast_shift(regA_i.mant & '0',to_integer(p1_fmt.exp-regA_i.fmt.exp)*8,'1');
156
    p1_mant_b <= fast_shift(regB_i.mant & '0',to_integer(p1_fmt.exp-regB_i.fmt.exp)*8,'1');
157
 
158
    -- negate operands for subtraction
159
    p1_cy <= '0';
160
    p1_is_add <= '0';
161
    p1_op_a <= '0' & p1_mant_a(29 downto 1);
162
    p1_op_b <= '0' & p1_mant_b(29 downto 1);
163
    if (cmd_i = QFP_SCMD_ADD and (regA_i.fmt.sign xor regB_i.fmt.sign) = '1') or
164
       (cmd_i = QFP_SCMD_SUB and (regA_i.fmt.sign xor regB_i.fmt.sign) = '0') then
165
      -- substraction
166
      if p1_gt = '1' then
167
        p1_op_a <= not ('0' & p1_mant_a(29 downto 1));
168
        p1_cy <= not p1_mant_a(0);-- use rounding
169
      else
170
        p1_op_b <= not ('0' & p1_mant_b(29 downto 1));
171
        p1_cy <= not p1_mant_b(0);-- use rounding
172
      end if;
173
    else -- addition
174
      p1_cy <= p1_mant_a(0) or p1_mant_b(0);-- rounding up
175
      p1_is_add <= '1';
176
    end if;
177
 
178
    -- calc greater than b > a
179
    p1_cmp_gt <= p1_gt;
180
    if regA_i.fmt.sign /= regB_i.fmt.sign then
181
      p1_cmp_gt <= regA_i.fmt.sign;
182
    elsif regA_i.fmt.sign = '1' then
183
      p1_cmp_gt <= not p1_gt;
184
    end if;
185
 
186
    -- calculate pre carry (first level of carray lookahead adder)
187
    p1_clag1 <= CLALevelMk(p1_op_a,p1_op_b,4);
188
 
189
    -- second level
190
    p1_clag2 <= CLALevelMk(p1_clag1,4);
191
 
192
    -- expand first level carry
193
    p1_exp1 <= CLAExpandCy(p1_clag2,p1_cy);
194
 
195
    --------------------------------------------------------------------------------------------------------------------
196
    -- stage 2
197
    --------------------------------------------------------------------------------------------------------------------
198
 
199
    -- expand second level carry
200
    p2_exp2 <= CLAExpandCy(p2_clag1,p2_exp1);
201
 
202
    -- propagate carry (level 2)
203
    p2_result <= CLAParallelAdd(p2_op_a,p2_op_b,p2_exp2);
204
 
205
    p2_sign <= p2_fmt.sign;
206
 
207
    result_o.extMant <= p2_result(28 downto 0) & (23 downto 0 => '0');
208
    result_o.ov <= "0000" & (p2_result(29) and p2_is_add); -- allow overflow only for real additions
209
    result_o.exp <= '0' & p2_fmt.exp;
210
    result_o.sign <= p2_sign;-- and not p2_cmp_eq;
211
 
212
  end process pp;
213
 
214
  cmp_le_o <= not p2_cmp_gt;-- less equal than
215
 
216
  ready_o <= '1';
217
  complete_o <= p2_complete;
218
 
219
end Rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.