OpenCores
URL https://opencores.org/ocsvn/qfp32/qfp32/trunk

Subversion Repositories qfp32

[/] [qfp32/] [trunk/] [unit.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 mgraep
library IEEE;
2
use IEEE.std_logic_1164.all;
3
use IEEE.numeric_std.all;
4
 
5
library work;
6
use work.qfp_p.all;
7
use work.qfp32_add_p.all;
8
use work.qfp32_misc_p.all;
9
 
10
package qfp32_unit_p is
11
 
12
  type qfp_cmd_t is record
13
    unit    : unsigned(2 downto 0);
14
    sub_cmd : qfp_scmd_t;
15
  end record qfp_cmd_t;
16
 
17
  constant QFP_UNIT_ADD : unsigned(2 downto 0) := to_unsigned(0,3);
18
  constant QFP_UNIT_MUL : unsigned(2 downto 0) := to_unsigned(1,3);
19
  constant QFP_UNIT_RECP : unsigned(2 downto 0) := to_unsigned(2,3);
20
  constant QFP_UNIT_MISC : unsigned(2 downto 0) := to_unsigned(3,3);
21
  constant QFP_UNIT_NONE : unsigned(2 downto 0) := to_unsigned(5,3);
22
  constant QFP_UNIT_DIV : unsigned(2 downto 0) := to_unsigned(4,3);
23
 
24
  constant qfp_config_add : natural := 2**to_integer(QFP_UNIT_ADD);
25
  constant qfp_config_mul : natural := 2**to_integer(QFP_UNIT_MUL);
26
  constant qfp_config_recp : natural := 2**to_integer(QFP_UNIT_RECP);
27
  constant qfp_config_misc : natural := 2**to_integer(QFP_UNIT_MISC);
28
  constant qfp_config_div : natural := 2**to_integer(QFP_UNIT_DIV);
29
 
30
  constant qfp_config_all : natural := qfp_config_add+qfp_config_mul+qfp_config_recp+qfp_config_misc+qfp_config_div;
31
 
32
  component qfp32_add is
33
    port (
34
      clk_i      : in  std_ulogic;
35
      reset_n_i  : in  std_ulogic;
36
      cmd_i      : in  qfp_scmd_t;
37
      start_i    : in  std_ulogic;
38
      ready_o    : out std_ulogic;
39
      regA_i     : in  qfp32_t;
40
      regB_i     : in  qfp32_t;
41
      complete_o : out std_ulogic;
42
      result_o   : out qfp32_raw_t;
43
      cmp_eq_o   : out std_ulogic;
44
      cmp_gt_o   : out std_ulogic);
45
  end component qfp32_add;
46
 
47
  component qfp32_mul is
48
    port (
49
      clk_i      : in  std_ulogic;
50
      reset_n_i  : in  std_ulogic;
51
      start_i    : in  std_ulogic;
52
      ready_o    : out std_ulogic;
53
      regA_i     : in  qfp32_t;
54
      regB_i     : in  qfp32_t;
55
      complete_o : out std_ulogic;
56
      result_o   : out qfp32_raw_t);
57
  end component qfp32_mul;
58
 
59
  component qfp32_recp is
60
    port (
61
      clk_i      : in  std_ulogic;
62
      reset_n_i  : in  std_ulogic;
63
      start_i    : in  std_ulogic;
64
      ready_o    : out std_ulogic;
65
      regA_i     : in  qfp32_t;
66
      complete_o : out std_ulogic;
67
      result_o   : out qfp32_t);
68
  end component qfp32_recp;
69
 
70
  component qfp32_divider is
71
    port (
72
      clk_i      : in  std_ulogic;
73
      reset_n_i  : in  std_ulogic;
74
      start_i    : in  std_ulogic;
75
      ready_o    : out std_ulogic;
76
      regA_i     : in  qfp32_t;
77
      regB_i     : in  qfp32_t;
78
      complete_o : out std_ulogic;
79
      result_o   : out qfp32_raw_t);
80
  end component qfp32_divider;
81
 
82
  component qfp32_misc is
83
    port (
84
      clk_i      : in  std_ulogic;
85
      reset_n_i  : in  std_ulogic;
86
      cmd_i      : in  qfp_scmd_t;
87
      start_i    : in  std_ulogic;
88
      ready_o    : out std_ulogic;
89
      regA_i     : in  qfp32_t;
90
      regB_i     : in  qfp32_t;
91
      complete_o : out std_ulogic;
92
      result_o   : out qfp32_raw_t);
93
  end component qfp32_misc;
94
 
95
  component qfp_norm is
96
    port (
97
      clk_i          : in  std_ulogic;
98
      reset_n_i      : in  std_ulogic;
99
      raw_i          : in  qfp32_raw_t;
100
      result_o       : out qfp32_t;
101
      result_zero_o  : out std_ulogic);
102
  end component qfp_norm;
103
 
104
end package qfp32_unit_p;
105
 
106
 
107
library IEEE;
108
use IEEE.std_logic_1164.all;
109
use IEEE.numeric_std.all;
110
 
111
library work;
112
use work.qfp_p.all;
113
use work.qfp32_unit_p.all;
114
use work.qfp32_norm_p.all;
115
use work.qfp32_misc_p.all;
116
 
117
entity qfp_unit is
118
 
119
  generic (
120
    config : natural := qfp_config_all);
121
 
122
  port (
123
    clk_i      : in  std_ulogic;
124
    reset_n_i  : in  std_ulogic;
125
 
126
    cmd_i      : in  qfp_cmd_t;
127
    ready_o    : out std_ulogic;
128
    start_i    : in  std_ulogic;
129
    regA_i     : in  std_ulogic_vector(31 downto 0);
130
    regB_i     : in  std_ulogic_vector(31 downto 0);
131
    result_o   : out std_ulogic_vector(31 downto 0);
132
    cmp_gt_o   : out std_ulogic;
133
    cmp_z_o    : out std_ulogic;
134
    complete_o : out std_ulogic);
135
 
136
end entity qfp_unit;
137
 
138
architecture Rtl of qfp_unit is
139
 
140
  constant units_config : unsigned(5 downto 0) := to_unsigned(config,6);
141
 
142
  type qfp32_vector_t is array (natural range <>) of qfp32_raw_t;
143
 
144
  function "sll" (ARG: std_ulogic_vector; COUNT: integer) return std_ulogic_vector is
145
  begin
146
    return To_StdULogicVector(std_logic_vector(unsigned(ARG) sll COUNT));
147
  end "sll";
148
 
149
  signal units_start    : std_ulogic_vector(5 downto 0);
150
  signal units_ready    : std_ulogic_vector(5 downto 0);
151
  signal units_complete : std_ulogic_vector(5 downto 0);
152
  signal units_result   : qfp32_vector_t(5 downto 0);
153
 
154
  signal i : natural range 0 to 5;
155
  signal j : natural range 0 to 5;
156
 
157
  signal regA : qfp32_t;
158
  signal regB : qfp32_t;
159
  signal cmp_le : std_ulogic;
160
  signal result : qfp32_t;
161
  signal active_unit : unsigned(2 downto 0);
162
 
163
  signal sign_ext : std_ulogic;
164
 
165
  signal raw_result : qfp32_raw_t;
166
  signal result_zero : std_ulogic;
167
 
168
begin  -- architecture Rtl
169
 
170
  qfp32_add_1: entity work.qfp32_add
171
    port map (
172
      clk_i      => clk_i,
173
      reset_n_i  => reset_n_i,
174
      cmd_i      => cmd_i.sub_cmd,
175
      start_i    => units_start(0),
176
      ready_o    => units_ready(0),
177
      regA_i     => regA,
178
      regB_i     => regB,
179
      complete_o => units_complete(0),
180
      result_o   => units_result(0),
181
      cmp_le_o   => cmp_le);
182
 
183
  qfp32_mul_1: entity work.qfp32_mul
184
    port map (
185
      clk_i      => clk_i,
186
      reset_n_i  => reset_n_i,
187
      start_i    => units_start(1),
188
      ready_o    => units_ready(1),
189
      regA_i     => regA,
190
      regB_i     => regB,
191
      complete_o => units_complete(1),
192
      result_o   => units_result(1));
193
 
194
  qfp32_recp_1: entity work.qfp32_recp
195
    port map (
196
      clk_i      => clk_i,
197
      reset_n_i  => reset_n_i,
198
      start_i    => units_start(2),
199
      ready_o    => units_ready(2),
200
      regA_i     => regA,
201
      complete_o => units_complete(2),
202
      result_o   => units_result(2));
203
 
204
  qfp32_divider_1: entity work.qfp32_divider
205
    port map (
206
      clk_i      => clk_i,
207
      reset_n_i  => reset_n_i,
208
      start_i    => units_start(4),
209
      ready_o    => units_ready(4),
210
      regA_i     => regA,
211
      regB_i     => regB,
212
      complete_o => units_complete(4),
213
      result_o   => units_result(4));
214
 
215
  qfp32_misc_1: entity work.qfp32_misc
216
    port map (
217
      clk_i      => clk_i,
218
      reset_n_i  => reset_n_i,
219
      cmd_i      => cmd_i.sub_cmd,
220
      start_i    => units_start(3),
221
      ready_o    => units_ready(3),
222
      regA_i     => regA,
223
      regB_i     => regB,
224
      complete_o => units_complete(3),
225
      result_o   => units_result(3));
226
 
227
  qfp_norm_1: entity work.qfp_norm
228
    port map (
229
      clk_i          => clk_i,
230
      reset_n_i      => reset_n_i,
231
      raw_i          => raw_result,
232
      result_o       => result,
233
      result_zero_o  => result_zero);
234
 
235
  raw_result <= units_result(i);
236
 
237
  process (clk_i, reset_n_i) is
238
  begin  -- process
239
    if reset_n_i = '0' then             -- asynchronous reset (active low)
240
      active_unit <= QFP_UNIT_NONE;
241
    elsif clk_i'event and clk_i = '1' then  -- rising clock edge
242
      active_unit <= cmd_i.unit;
243
    end if;
244
  end process;
245
 
246
  units_result(to_integer(QFP_UNIT_NONE)) <= (unsigned(regA_i(28 downto 0)) & X"000000","00000",'0' & unsigned(regA_i(30 downto 29)),regA_i(31));
247
  units_ready(to_integer(QFP_UNIT_NONE)) <= '1';
248
  units_complete(to_integer(QFP_UNIT_NONE)) <= '0';
249
 
250
  i <= to_integer(cmd_i.unit);
251
  j <= to_integer(active_unit);
252
 
253
  -- start unit
254
  units_start <= ("000001" sll i) when units_config(i) = '1' and start_i = '1' else (others => '0');
255
 
256
  -- convert from q to integer
257
  sign_ext <= '1' when cmd_i.unit = to_unsigned(3,3) and cmd_i.sub_cmd = QFP_SCMD_Q2I else '0';
258
 
259
  regA <= (unsigned(regA_i(28 downto 0)),(unsigned(regA_i(30 downto 29)),regA_i(31)));
260
  regB <= (unsigned(regB_i(28 downto 0)),(unsigned(regB_i(30 downto 29)),regB_i(31)));
261
 
262
  result_o(31) <= result.fmt.sign;
263
  result_o(30 downto 29) <= std_ulogic_vector(result.fmt.exp) when sign_ext = '0' else result.fmt.sign & result.fmt.sign;
264
  result_o(28 downto 0) <= std_ulogic_vector(result.mant);
265
 
266
  -- add/sub: 2 cycles, fully pipelined
267
  -- mul: 3 cycles, delay 2 cycles
268
  -- recp: 31 cycles, delay 30 cycles
269
  -- misc: 1 cycle, delay 1 cycle
270
  -- div: 32cycles, delay 31 cycles
271
  ready_o <= units_ready(j);
272
  complete_o <= units_complete(j);
273
 
274
  -- only valid for sub
275
  cmp_gt_o <= not result_zero and cmp_le;
276
  cmp_z_o <= result_zero;
277
 
278
end architecture Rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.