OpenCores
URL https://opencores.org/ocsvn/qfp32/qfp32/trunk

Subversion Repositories qfp32

[/] [qfp32/] [trunk/] [unit.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 mgraep
-- Copyright (c) 2013 Malte Graeper (mgraep@t-online.de) All rights reserved.
2
 
3 2 mgraep
library IEEE;
4
use IEEE.std_logic_1164.all;
5
use IEEE.numeric_std.all;
6
 
7
library work;
8
use work.qfp_p.all;
9
use work.qfp32_add_p.all;
10
use work.qfp32_misc_p.all;
11
 
12
package qfp32_unit_p is
13
 
14
  type qfp_cmd_t is record
15
    unit    : unsigned(2 downto 0);
16
    sub_cmd : qfp_scmd_t;
17
  end record qfp_cmd_t;
18
 
19
  constant QFP_UNIT_ADD : unsigned(2 downto 0) := to_unsigned(0,3);
20
  constant QFP_UNIT_MUL : unsigned(2 downto 0) := to_unsigned(1,3);
21
  constant QFP_UNIT_RECP : unsigned(2 downto 0) := to_unsigned(2,3);
22
  constant QFP_UNIT_MISC : unsigned(2 downto 0) := to_unsigned(3,3);
23
  constant QFP_UNIT_NONE : unsigned(2 downto 0) := to_unsigned(5,3);
24
  constant QFP_UNIT_DIV : unsigned(2 downto 0) := to_unsigned(4,3);
25
 
26
  constant qfp_config_add : natural := 2**to_integer(QFP_UNIT_ADD);
27
  constant qfp_config_mul : natural := 2**to_integer(QFP_UNIT_MUL);
28
  constant qfp_config_recp : natural := 2**to_integer(QFP_UNIT_RECP);
29
  constant qfp_config_misc : natural := 2**to_integer(QFP_UNIT_MISC);
30
  constant qfp_config_div : natural := 2**to_integer(QFP_UNIT_DIV);
31
 
32
  constant qfp_config_all : natural := qfp_config_add+qfp_config_mul+qfp_config_recp+qfp_config_misc+qfp_config_div;
33
 
34
  component qfp32_add is
35
    port (
36
      clk_i      : in  std_ulogic;
37
      reset_n_i  : in  std_ulogic;
38
      cmd_i      : in  qfp_scmd_t;
39
      start_i    : in  std_ulogic;
40
      ready_o    : out std_ulogic;
41
      regA_i     : in  qfp32_t;
42
      regB_i     : in  qfp32_t;
43
      complete_o : out std_ulogic;
44
      result_o   : out qfp32_raw_t;
45
      cmp_eq_o   : out std_ulogic;
46
      cmp_gt_o   : out std_ulogic);
47
  end component qfp32_add;
48
 
49
  component qfp32_mul is
50
    port (
51
      clk_i      : in  std_ulogic;
52
      reset_n_i  : in  std_ulogic;
53
      start_i    : in  std_ulogic;
54
      ready_o    : out std_ulogic;
55
      regA_i     : in  qfp32_t;
56
      regB_i     : in  qfp32_t;
57
      complete_o : out std_ulogic;
58
      result_o   : out qfp32_raw_t);
59
  end component qfp32_mul;
60
 
61
  component qfp32_recp is
62
    port (
63
      clk_i      : in  std_ulogic;
64
      reset_n_i  : in  std_ulogic;
65
      start_i    : in  std_ulogic;
66
      ready_o    : out std_ulogic;
67
      regA_i     : in  qfp32_t;
68
      complete_o : out std_ulogic;
69
      result_o   : out qfp32_t);
70
  end component qfp32_recp;
71
 
72
  component qfp32_divider is
73
    port (
74
      clk_i      : in  std_ulogic;
75
      reset_n_i  : in  std_ulogic;
76
      start_i    : in  std_ulogic;
77
      ready_o    : out std_ulogic;
78
      regA_i     : in  qfp32_t;
79
      regB_i     : in  qfp32_t;
80
      complete_o : out std_ulogic;
81
      result_o   : out qfp32_raw_t);
82
  end component qfp32_divider;
83
 
84
  component qfp32_misc is
85
    port (
86
      clk_i      : in  std_ulogic;
87
      reset_n_i  : in  std_ulogic;
88
      cmd_i      : in  qfp_scmd_t;
89
      start_i    : in  std_ulogic;
90
      ready_o    : out std_ulogic;
91
      regA_i     : in  qfp32_t;
92
      regB_i     : in  qfp32_t;
93
      complete_o : out std_ulogic;
94
      result_o   : out qfp32_raw_t);
95
  end component qfp32_misc;
96
 
97
  component qfp_norm is
98
    port (
99
      clk_i          : in  std_ulogic;
100
      reset_n_i      : in  std_ulogic;
101
      raw_i          : in  qfp32_raw_t;
102
      result_o       : out qfp32_t;
103
      result_zero_o  : out std_ulogic);
104
  end component qfp_norm;
105
 
106
end package qfp32_unit_p;
107
 
108
 
109
library IEEE;
110
use IEEE.std_logic_1164.all;
111
use IEEE.numeric_std.all;
112
 
113
library work;
114
use work.qfp_p.all;
115
use work.qfp32_unit_p.all;
116
use work.qfp32_norm_p.all;
117
use work.qfp32_misc_p.all;
118
 
119
entity qfp_unit is
120
 
121
  generic (
122
    config : natural := qfp_config_all);
123
 
124
  port (
125
    clk_i      : in  std_ulogic;
126
    reset_n_i  : in  std_ulogic;
127
 
128
    cmd_i      : in  qfp_cmd_t;
129
    ready_o    : out std_ulogic;
130
    start_i    : in  std_ulogic;
131
    regA_i     : in  std_ulogic_vector(31 downto 0);
132
    regB_i     : in  std_ulogic_vector(31 downto 0);
133
    result_o   : out std_ulogic_vector(31 downto 0);
134
    cmp_gt_o   : out std_ulogic;
135
    cmp_z_o    : out std_ulogic;
136
    complete_o : out std_ulogic);
137
 
138
end entity qfp_unit;
139
 
140
architecture Rtl of qfp_unit is
141
 
142
  constant units_config : unsigned(5 downto 0) := to_unsigned(config,6);
143
 
144
  type qfp32_vector_t is array (natural range <>) of qfp32_raw_t;
145
 
146
  function "sll" (ARG: std_ulogic_vector; COUNT: integer) return std_ulogic_vector is
147
  begin
148
    return To_StdULogicVector(std_logic_vector(unsigned(ARG) sll COUNT));
149
  end "sll";
150
 
151
  signal units_start    : std_ulogic_vector(5 downto 0);
152
  signal units_ready    : std_ulogic_vector(5 downto 0);
153
  signal units_complete : std_ulogic_vector(5 downto 0);
154
  signal units_result   : qfp32_vector_t(5 downto 0);
155
 
156
  signal i : natural range 0 to 5;
157
  signal j : natural range 0 to 5;
158
 
159
  signal regA : qfp32_t;
160
  signal regB : qfp32_t;
161
  signal cmp_le : std_ulogic;
162
  signal result : qfp32_t;
163
  signal active_unit : unsigned(2 downto 0);
164
 
165
  signal sign_ext : std_ulogic;
166
 
167
  signal raw_result : qfp32_raw_t;
168
  signal result_zero : std_ulogic;
169
 
170
begin  -- architecture Rtl
171
 
172
  qfp32_add_1: entity work.qfp32_add
173
    port map (
174
      clk_i      => clk_i,
175
      reset_n_i  => reset_n_i,
176
      cmd_i      => cmd_i.sub_cmd,
177
      start_i    => units_start(0),
178
      ready_o    => units_ready(0),
179
      regA_i     => regA,
180
      regB_i     => regB,
181
      complete_o => units_complete(0),
182
      result_o   => units_result(0),
183
      cmp_le_o   => cmp_le);
184
 
185
  qfp32_mul_1: entity work.qfp32_mul
186
    port map (
187
      clk_i      => clk_i,
188
      reset_n_i  => reset_n_i,
189
      start_i    => units_start(1),
190
      ready_o    => units_ready(1),
191
      regA_i     => regA,
192
      regB_i     => regB,
193
      complete_o => units_complete(1),
194
      result_o   => units_result(1));
195
 
196
  qfp32_recp_1: entity work.qfp32_recp
197
    port map (
198
      clk_i      => clk_i,
199
      reset_n_i  => reset_n_i,
200
      start_i    => units_start(2),
201
      ready_o    => units_ready(2),
202
      regA_i     => regA,
203
      complete_o => units_complete(2),
204
      result_o   => units_result(2));
205
 
206
  qfp32_divider_1: entity work.qfp32_divider
207
    port map (
208
      clk_i      => clk_i,
209
      reset_n_i  => reset_n_i,
210
      start_i    => units_start(4),
211
      ready_o    => units_ready(4),
212
      regA_i     => regA,
213
      regB_i     => regB,
214
      complete_o => units_complete(4),
215
      result_o   => units_result(4));
216
 
217
  qfp32_misc_1: entity work.qfp32_misc
218
    port map (
219
      clk_i      => clk_i,
220
      reset_n_i  => reset_n_i,
221
      cmd_i      => cmd_i.sub_cmd,
222
      start_i    => units_start(3),
223
      ready_o    => units_ready(3),
224
      regA_i     => regA,
225
      regB_i     => regB,
226
      complete_o => units_complete(3),
227
      result_o   => units_result(3));
228
 
229
  qfp_norm_1: entity work.qfp_norm
230
    port map (
231
      clk_i          => clk_i,
232
      reset_n_i      => reset_n_i,
233
      raw_i          => raw_result,
234
      result_o       => result,
235
      result_zero_o  => result_zero);
236
 
237
  raw_result <= units_result(i);
238
 
239
  process (clk_i, reset_n_i) is
240
  begin  -- process
241
    if reset_n_i = '0' then             -- asynchronous reset (active low)
242
      active_unit <= QFP_UNIT_NONE;
243
    elsif clk_i'event and clk_i = '1' then  -- rising clock edge
244
      active_unit <= cmd_i.unit;
245
    end if;
246
  end process;
247
 
248
  units_result(to_integer(QFP_UNIT_NONE)) <= (unsigned(regA_i(28 downto 0)) & X"000000","00000",'0' & unsigned(regA_i(30 downto 29)),regA_i(31));
249
  units_ready(to_integer(QFP_UNIT_NONE)) <= '1';
250
  units_complete(to_integer(QFP_UNIT_NONE)) <= '0';
251
 
252
  i <= to_integer(cmd_i.unit);
253
  j <= to_integer(active_unit);
254
 
255
  -- start unit
256
  units_start <= ("000001" sll i) when units_config(i) = '1' and start_i = '1' else (others => '0');
257
 
258
  -- convert from q to integer
259
  sign_ext <= '1' when cmd_i.unit = to_unsigned(3,3) and cmd_i.sub_cmd = QFP_SCMD_Q2I else '0';
260
 
261
  regA <= (unsigned(regA_i(28 downto 0)),(unsigned(regA_i(30 downto 29)),regA_i(31)));
262
  regB <= (unsigned(regB_i(28 downto 0)),(unsigned(regB_i(30 downto 29)),regB_i(31)));
263
 
264
  result_o(31) <= result.fmt.sign;
265
  result_o(30 downto 29) <= std_ulogic_vector(result.fmt.exp) when sign_ext = '0' else result.fmt.sign & result.fmt.sign;
266
  result_o(28 downto 0) <= std_ulogic_vector(result.mant);
267
 
268
  -- add/sub: 2 cycles, fully pipelined
269
  -- mul: 3 cycles, delay 2 cycles
270
  -- recp: 31 cycles, delay 30 cycles
271
  -- misc: 1 cycle, delay 1 cycle
272
  -- div: 32cycles, delay 31 cycles
273
  ready_o <= units_ready(j);
274
  complete_o <= units_complete(j);
275
 
276
  -- only valid for sub
277
  cmp_gt_o <= not result_zero and cmp_le;
278
  cmp_z_o <= result_zero;
279
 
280
end architecture Rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.