OpenCores
URL https://opencores.org/ocsvn/quadrature_oscillator/quadrature_oscillator/trunk

Subversion Repositories quadrature_oscillator

[/] [quadrature_oscillator/] [trunk/] [testbench_files/] [auto_oscillator_tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 davimoreno
// auto_oscillator.v test bench file
2
module auto_oscillator_tb;
3
 
4
reg clk, rst;
5
reg start;
6
 
7
auto_oscillator a_osc1(.clk(clk), .rst(rst), .start(start));
8
 
9
initial // Clock generator
10
  begin
11
    clk = 0;
12
    forever #10 clk = !clk;
13
  end
14
 
15
initial // Reset generator
16
  begin
17
    rst = 0;
18
         start = 0;
19
    #20 rst = 1;
20
         #10 rst = 0;
21
         #100 start = 1;
22
  end
23
 
24
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.