OpenCores
URL https://opencores.org/ocsvn/quadrature_oscillator/quadrature_oscillator/trunk

Subversion Repositories quadrature_oscillator

[/] [quadrature_oscillator/] [trunk/] [testbench_files/] [quad_oscillator_tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 davimoreno
// Impulse response of quad_oscillator.v test bench file
2
module quad_oscillator_tb;
3
 
4
reg clk, rst;
5
reg signed [15:0] x;
6
 
7
quad_oscillator osc1(.clk(clk), .rst(rst), .x(x));
8
 
9
initial // Clock generator
10
  begin
11
    clk = 0;
12
    forever #10 clk = !clk;
13
  end
14
 
15
initial // Reset generator
16
  begin
17
    rst = 0;
18
         x = 16'd0;
19
    #20 rst = 1;
20
         #10 rst = 0;
21
         #100 x = 16'd256;
22
         #15 x = 16'd0;
23
  end
24
 
25
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.