OpenCores
URL https://opencores.org/ocsvn/raggedstone/raggedstone/trunk

Subversion Repositories raggedstone

[/] [raggedstone/] [trunk/] [Makefile] - Blame information for rev 10

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jcarr
PWD := $(shell pwd)
2
 
3
XST := $(shell which xst)
4
 
5
TMP = tmp/
6
$(shell mkdir tmp)
7
 
8
PROJECT := pci_7seg
9
 
10
all: gen_vhdl xst ngdbuild map par trace prom final
11
 
12
gen_vhdl:
13
        cd source/generate_pci32tlite/ && make
14
        cd source/generate_pciregs/ && make
15
 
16
log:
17
        time make all &>build.log
18
 
19
xst: $(PROJECT).ngc
20
 
21
ngdbuild: $(PROJECT).ngc $(PROJECT).ngd
22
 
23
$(PROJECT).ngc:
24
        @# echo synclib > $(PROJECT).lso # hmm. things are different in ise 9.1
25
        echo work >> $(PROJECT).lso
26
        xst -intstyle ise -ifn $(PROJECT).xst -ofn $(PROJECT).syr &> tmp/build.xst.log
27
        #cat $(PROJECT).syr
28
        mv $(PROJECT).syr $(TMP)
29
        mv $(PROJECT).ngr $(PROJECT).lso $(TMP)
30
        mv xst $(TMP)
31
 
32
$(PROJECT).ngd:
33
        ngdbuild -intstyle ise -dd "$(PWD)/_ngo" -nt timestamp -uc $(PROJECT).ucf  -p xc3s400-fg456-4 $(PROJECT).ngc $(PROJECT).ngd &> tmp/build.ngdbuild.log
34
        mv $(PROJECT).bld $(TMP)
35
        mv _ngo  $(TMP)
36
 
37
map:
38
        map -intstyle ise -p xc3s400-fg456-4 -cm area -pr b -k 4 -c 100 -o $(PROJECT)_map.ncd $(PROJECT).ngd $(PROJECT).pcf &> tmp/build.map.log
39
        mv $(PROJECT)_map.mrp $(PROJECT)_map.ngm $(PROJECT).ngc $(TMP)
40
 
41
par:
42
        @#par -w -intstyle ise -ol std -n 4 -t 1 $(PROJECT)_map.ncd $(PROJECT).dir $(PROJECT).pcf &> tmp/build.par.log
43
        par -w -intstyle ise -ol std -t 1 $(PROJECT)_map.ncd $(PROJECT).ncd $(PROJECT).pcf &> tmp/build.par.log
44
        mv $(PROJECT).xpi $(PROJECT).par $(PROJECT).pad $(TMP)
45
        mv $(PROJECT)_pad.csv $(PROJECT)_pad.txt $(TMP)
46
 
47
trace:
48
        trce -intstyle ise -e 3 -l 3 -s 4 -xml $(PROJECT) $(PROJECT).ncd -o $(PROJECT).twr $(PROJECT).pcf &> tmp/build.trce.log
49
        #cat $(PROJECT).twr
50
        mv $(PROJECT).twr $(TMP)
51
        mv $(PROJECT).twx $(TMP)
52
        mv $(PROJECT)_map.ncd $(PROJECT).ngd $(PROJECT).pcf $(TMP)
53
 
54
prom:
55
        bitgen -intstyle ise -f $(PROJECT).ut $(PROJECT).ncd &> tmp/build.bitgen.log
56
        # cp $(PROJECT).bit ../jcarr_last.bit
57
        #cat $(PROJECT).drc
58
        mv $(PROJECT).drc  $(TMP)
59
        #cat $(PROJECT).bgn
60
        mv $(PROJECT).bgn  $(TMP)
61
 
62
final:
63
        -mv $(PROJECT).unroutes *.xml $(TMP)
64
        -mv $(PROJECT)*.map $(TMP)
65
        -mv $(PROJECT).ncd $(TMP)
66
        -grep -A 8 -B 1 ^Selected\ Device tmp/build.xst.log
67
        -grep -A 8 -B 1 ^Timing\ Summary tmp/build.xst.log
68
        -grep -A 21 -B 1 ^Design\ Summary tmp/build.map.log
69
 
70
burn:
71
        xc3sprog $(PROJECT).bit
72
 
73
clean:
74
        rm -rf $(TMP)
75
        rm -rf *.bit *.bgn *.mcs *.prm *.bld *.drc *.mcs *.ncd *.ngc *.ngd
76
        rm -rf *.ngr *.pad *.par *.pcf *.prm *.syr *.twr *.twx *.xpi *.lso
77
        rm -rf $(PROJECT)_map.* $(PROJECT)_pad.*
78
        rm -rf _ngo xst
79
        rm -rf build.log
80
        rm -rf source/new_*
81
        rm -rf $(PROJECT).unroutes *.xml

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.