OpenCores
URL https://opencores.org/ocsvn/raptor64/raptor64/trunk

Subversion Repositories raptor64

[/] [raptor64/] [trunk/] [rtl/] [verilog/] [Raptor64_tb.v] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 robfinch
module Raptor64_tb();
2 6 robfinch
parameter IDLE = 8'd1;
3
parameter DOCMD = 8'd2;
4 3 robfinch
 
5
reg clk;
6
reg rst;
7 14 robfinch
reg nmi;
8 3 robfinch
wire sys_cyc;
9
wire sys_stb;
10
wire sys_we;
11
wire [7:0] sys_sel;
12 14 robfinch
wire [63:0] sys_adr;
13
wire [63:0] sys_dbo;
14
wire [63:0] sys_dbi;
15 3 robfinch
wire sys_ack;
16 6 robfinch
reg [7:0] cnt;
17 12 robfinch
wire wr_empty = 1'b1;
18
wire wr_full;
19 21 robfinch
reg [63:0] romout;
20
wire stk_ack;
21
wire scr_ack;
22 25 robfinch
wire br_ack;
23
wire [63:0] br_dato;
24 21 robfinch
wire [63:0] stk_dato;
25
wire [63:0] scr_dato;
26
wire [15:0] tc_dato;
27 25 robfinch
wire [15:0] pic_dato;
28 21 robfinch
wire tc_ack;
29 25 robfinch
wire pic_ack;
30
reg pulse1000Hz;
31 3 robfinch
 
32 25 robfinch
assign ram_ack = sys_cyc && sys_stb && (sys_adr[63:32]==32'd1);
33
assign sys_ack = br_ack|stk_ack|scr_ack|tc_ack|pic_ack|ram_ack;
34 3 robfinch
 
35
initial begin
36
        clk = 1;
37 25 robfinch
        pulse1000Hz = 0;
38 3 robfinch
        rst = 0;
39 14 robfinch
        nmi = 0;
40 3 robfinch
        #100 rst = 1;
41
        #100 rst = 0;
42 21 robfinch
        #800 nmi = 1;
43 14 robfinch
        #100 nmi = 0;
44 25 robfinch
        #500000 pulse1000Hz = 1;
45
        #10 pulse1000Hz = 0;
46 3 robfinch
end
47
 
48
always #10 clk = ~clk;  //  50 MHz
49
 
50 25 robfinch
 
51
reg pulse1000HzB;
52
always @(posedge clk)
53
if (rst) begin
54
        pulse1000HzB <= 1'b0;
55
end
56
else begin
57
        if (pulse1000Hz)
58
                pulse1000HzB <= 1'b1;
59
        else begin
60
        if (sys_adr==64'hFFFFFFFF_FFFF0000)
61
                pulse1000HzB <= 1'b0;
62
        end
63
end
64
 
65
 
66 21 robfinch
rtfTextController tc1
67
(
68
        .rst_i(rst),
69
        .clk_i(clk),
70
        .cyc_i(sys_cyc),
71
        .stb_i(sys_stb),
72
        .ack_o(tc_ack),
73
        .we_i(sys_we),
74
        .sel_i(sys_sel[1:0]|sys_sel[3:2]|sys_sel[5:4]|sys_sel[7:6]),
75
        .adr_i(sys_adr),
76
        .dat_i(sys_dbo[15:0]),
77
        .dat_o(tc_dato),
78
        .lp(),
79
        .curpos(),
80
        .vclk(),
81
        .eol(),
82
        .eof(),
83
        .blank(),
84
        .border(),
85
        .rgbIn(),
86
        .rgbOut()
87
);
88
 
89
scratchmem u_sc
90
(
91
        .clk_i(clk),
92
        .cyc_i(sys_cyc),
93
        .stb_i(sys_stb),
94
        .ack_o(scr_ack),
95
        .we_i(sys_we),
96
        .sel_i(sys_sel),
97
        .adr_i(sys_adr),
98
        .dat_i(sys_dbo),
99
        .dat_o(scr_dato)
100
);
101
 
102
stkmem u_stk
103
(
104
        .clk_i(clk),
105
        .cyc_i(sys_cyc),
106
        .stb_i(sys_stb),
107
        .ack_o(stk_ack),
108
        .we_i(sys_we),
109
        .adr_i(sys_adr),
110
        .dat_i(sys_dbo),
111
        .dat_o(stk_dato)
112
);
113
 
114 25 robfinch
bootrom u_br
115
(
116
        .clk_i(clk),
117
        .cyc_i(sys_cyc),
118
        .stb_i(sys_stb),
119
        .ack_o(br_ack),
120
        .adr_i(sys_adr),
121
        .dat_o(br_dato)
122
);
123
 
124
 
125
RaptorPIC u_pic
126
(
127
        .rst_i(rst),            // reset
128
        .clk_i(clk),    // system clock
129
        .cyc_i(sys_cyc),        // cycle valid
130
        .stb_i(sys_stb),        // strobe
131
        .ack_o(pic_ack),        // transfer acknowledge
132
        .we_i(sys_we),          // write
133
        .sel_i(sys_sel[1:0]|sys_sel[3:2]|sys_sel[5:4]|sys_sel[7:6]),                     // byte select
134
        .adr_i(sys_adr),        // address
135
        .dat_i(sys_dbo[15:0]),
136
        .dat_o(pic_dato),
137
        .vol_o(),                       // volatile register selected
138
        .i1(),
139
        .i2(pulse1000HzB),
140
        .i3(), .i4(), .i5(), .i6(), .i7(),
141
        .i8(), .i9(), .i10(), .i11(), .i12(), .i13(), .i14(),
142
        .i15(),
143
        .irqo(cpu_irq), // normally connected to the processor irq
144
        .nmii(nmi),             // nmi input connected to nmi requester
145
        .nmio(cpu_nmi), // normally connected to the nmi of cpu
146
        .irqenc()
147
);
148
 
149
 
150
 
151 21 robfinch
reg [63:0] keybdout;
152 12 robfinch
always @(sys_adr)
153 21 robfinch
        if (sys_adr==64'hFFFF_FFFF_FFDC_0000) begin
154
                $display ("keyboard=FF");
155
                keybdout <= 64'hFFFF_FFFF_FFFF_FFFF;
156
        end
157
        else
158
                keybdout <= 64'd0;
159
 
160
always @(sys_adr)
161 14 robfinch
case(sys_adr)// | 64'hFFFF_FFFF_FFFF_0000)
162 25 robfinch
64'hFFFFFFFFFFFFE800:   romout <= 64'h000030000000000A;
163
64'hFFFFFFFFFFFFE808:   romout <= 64'h0BEFFFEFFF800000;
164
64'hFFFFFFFFFFFFE810:   romout <= 64'hFFE920C7FFFFFA66;
165
64'hFFFFFFFFFFFFE818:   romout <= 64'h0000000004031FFF;
166
64'hFFFFFFFFFFFFE820:   romout <= 64'h001050A3000000CE;
167
64'hFFFFFFFFFFFFE828:   romout <= 64'h1080600041462018;
168
64'hFFFFFFFFFFFFE830:   romout <= 64'h001058A300000020;
169
64'hFFFFFFFFFFFFE838:   romout <= 64'h1080400041662018;
170
64'hFFFFFFFFFFFFE840:   romout <= 64'h0002210804000416;
171
64'hFFFFFFFFFFFFE848:   romout <= 64'h0000000000DBE218;
172
64'hFFFFFFFFFFFFE850:   romout <= 64'hFFEBD10806000414;
173
64'hFFFFFFFFFFFFE858:   romout <= 64'h0C7FFFFFAE131FFF;
174
64'hFFFFFFFFFFFFE860:   romout <= 64'h0010618800000416;
175
64'hFFFFFFFFFFFFE868:   romout <= 64'h0A1FFFFE90062000;
176
64'hFFFFFFFFFFFFE870:   romout <= 64'hFFEF94E1FFFFFFFF;
177
64'hFFFFFFFFFFFFE878:   romout <= 64'h00802000AA831FFF;
178
64'hFFFFFFFFFFFFE880:   romout <= 64'h50C842F840000129;
179
64'hFFFFFFFFFFFFE888:   romout <= 64'h008400008A9285D9;
180
64'hFFFFFFFFFFFFE890:   romout <= 64'h72EA6008400009A9;
181
64'hFFFFFFFFFFFFE898:   romout <= 64'h00840000929287FB;
182
64'hFFFFFFFFFFFFE8A0:   romout <= 64'hFFF2440840000A29;
183
64'hFFFFFFFFFFFFE8A8:   romout <= 64'h2F80000002A33FFF;
184
64'hFFFFFFFFFFFFE8B0:   romout <= 64'h00000C3FBC000018;
185
64'hFFFFFFFFFFFFE8B8:   romout <= 64'h0A2FFFFE8F09FD00;
186
64'hFFFFFFFFFFFFE8C0:   romout <= 64'h0003210082000000;
187
64'hFFFFFFFFFFFFE8C8:   romout <= 64'h0C7FFFFFA58BE100;
188
64'hFFFFFFFFFFFFE8D0:   romout <= 64'hFFFE282884000001;
189
64'hFFFFFFFFFFFFE8D8:   romout <= 64'h27740000003BE007;
190
64'hFFFFFFFFFFFFE8E0:   romout <= 64'h000000DFBE000018;
191
64'hFFFFFFFFFFFFE8E8:   romout <= 64'h37800000000DE000;
192
64'hFFFFFFFFFFFFE8F0:   romout <= 64'h6F57206F6C6C6548;
193
64'hFFFFFFFFFFFFE8F8:   romout <= 64'h0000000021646C72;
194
64'hFFFFFFFFFFFFE900:   romout <= 64'h3436726F74706152;
195
64'hFFFFFFFFFFFFE908:   romout <= 64'h206D657473797320;
196
64'hFFFFFFFFFFFFE910:   romout <= 64'h676E697472617473;
197
64'hFFFFFFFFFFFFE918:   romout <= 64'h000000002E2E2E2E;
198
64'hFFFFFFFFFFFFE920:   romout <= 64'h703FC8A1FFFF8007;
199
64'hFFFFFFFFFFFFE928:   romout <= 64'h0DFBE0000009200F;
200
64'hFFFFFFFFFFFFE930:   romout <= 64'h0000003FBC000008;
201
64'hFFFFFFFFFFFFE938:   romout <= 64'h0A1FFDC0A0067E18;
202
64'hFFFFFFFFFFFFE940:   romout <= 64'h0000060046000001;
203
64'hFFFFFFFFFFFFE948:   romout <= 64'h2F8C000000814318;
204
64'hFFFFFFFFFFFFE950:   romout <= 64'h0000011F86000000;
205
64'hFFFFFFFFFFFFE958:   romout <= 64'h0DFBE00000880108;
206
64'hFFFFFFFFFFFFE960:   romout <= 64'h0000203FBC000010;
207
64'hFFFFFFFFFFFFE968:   romout <= 64'h19F8600000067E10;
208
64'hFFFFFFFFFFFFE970:   romout <= 64'h000004A3FFDC0A00;
209
64'hFFFFFFFFFFFFE978:   romout <= 64'h0508400004080310;
210
64'hFFFFFFFFFFFFE980:   romout <= 64'h000002F881FFFFA8;
211
64'hFFFFFFFFFFFFE988:   romout <= 64'h11F8600000090308;
212
64'hFFFFFFFFFFFFE990:   romout <= 64'h0000411F84000008;
213
64'hFFFFFFFFFFFFE998:   romout <= 64'h1800000045037EF8;
214
64'hFFFFFFFFFFFFE9A0:   romout <= 64'h0000058000000451;
215
64'hFFFFFFFFFFFFE9A8:   romout <= 64'h1800200041A28400;
216
64'hFFFFFFFFFFFFE9B0:   romout <= 64'h000060DFBE000000;
217
64'hFFFFFFFFFFFFE9B8:   romout <= 64'h27F000000070FEF0;
218
64'hFFFFFFFFFFFFE9C0:   romout <= 64'h00003D2802000450;
219
64'hFFFFFFFFFFFFE9C8:   romout <= 64'h0A30000044014108;
220
64'hFFFFFFFFFFFFE9D0:   romout <= 64'h700000E300000000;
221
64'hFFFFFFFFFFFFE9D8:   romout <= 64'h24801DC000282017;
222
64'hFFFFFFFFFFFFE9E0:   romout <= 64'h000005A8C2200010;
223
64'hFFFFFFFFFFFFE9E8:   romout <= 64'h0504200000F0A108;
224
64'hFFFFFFFFFFFFE9F0:   romout <= 64'h0011458002000450;
225
64'hFFFFFFFFFFFFE9F8:   romout <= 64'h2F8440001094A010;
226
64'hFFFFFFFFFFFFEA00:   romout <= 64'h00003C2884000001;
227
64'hFFFFFFFFFFFFEA08:   romout <= 64'h1800400045114210;
228
64'hFFFFFFFFFFFFEA10:   romout <= 64'h0000627700000007;
229
64'hFFFFFFFFFFFFEA18:   romout <= 64'h0DFBE0000000BEF0;
230
64'hFFFFFFFFFFFFEA20:   romout <= 64'h000001800200041A;
231
64'hFFFFFFFFFFFFEA28:   romout <= 64'h03FBC00001037EF8;
232
64'hFFFFFFFFFFFFEA30:   romout <= 64'h0011467F00000006;
233
64'hFFFFFFFFFFFFEA38:   romout <= 64'h128020004504A010;
234
64'hFFFFFFFFFFFFEA40:   romout <= 64'h000002F844000148;
235
64'hFFFFFFFFFFFFEA48:   romout <= 64'h1A8C410000528C00;
236
64'hFFFFFFFFFFFFEA50:   romout <= 64'h00003C2884000001;
237
64'hFFFFFFFFFFFFEA58:   romout <= 64'h1800400045114210;
238
64'hFFFFFFFFFFFFEA60:   romout <= 64'h0000427700000006;
239
64'hFFFFFFFFFFFFEA68:   romout <= 64'h0A1FFFFFFFF37EF8;
240
64'hFFFFFFFFFFFFEA70:   romout <= 64'h0000427700000006;
241
64'hFFFFFFFFFFFFEA78:   romout <= 64'h1280200045137EF8;
242
64'hFFFFFFFFFFFFEA80:   romout <= 64'h0002A12804000450;
243
64'hFFFFFFFFFFFFEA88:   romout <= 64'h0A100000001BE110;
244
64'hFFFFFFFFFFFFEA90:   romout <= 64'h400028DFBE000000;
245
64'hFFFFFFFFFFFFEA98:   romout <= 64'h0DFBE00000004108;
246
64'hFFFFFFFFFFFFEAA0:   romout <= 64'h0000083FBC000010;
247
64'hFFFFFFFFFFFFEAA8:   romout <= 64'h0A2FFDC00009FD00;
248
64'hFFFFFFFFFFFFEAB0:   romout <= 64'h0000060082000000;
249
64'hFFFFFFFFFFFFEAB8:   romout <= 64'h20080000002BE100;
250
64'hFFFFFFFFFFFFEAC0:   romout <= 64'h00106850420000FF;
251
64'hFFFFFFFFFFFFEAC8:   romout <= 64'h2F8800000C840010;
252
64'hFFFFFFFFFFFFEAD0:   romout <= 64'hFFED4AC04514000D;
253
64'hFFFFFFFFFFFFEAD8:   romout <= 64'h2774000000231FFF;
254
64'hFFFFFFFFFFFFEAE0:   romout <= 64'h000060DFBE000010;
255
64'hFFFFFFFFFFFFEAE8:   romout <= 64'h27F400000030FEF0;
256
64'hFFFFFFFFFFFFEAF0:   romout <= 64'h0000051802000400;
257
64'hFFFFFFFFFFFFEAF8:   romout <= 64'h1980200040008108;
258
64'hFFFFFFFFFFFFEB00:   romout <= 64'h000378A2FFD00000;
259
64'hFFFFFFFFFFFFEB08:   romout <= 64'h0284200000142208;
260
64'hFFFFFFFFFFFFEB10:   romout <= 64'hFC000188820000DE;
261
64'hFFFFFFFFFFFFEB18:   romout <= 64'h1180200040042007;
262
64'hFFFFFFFFFFFFEB20:   romout <= 64'h400100504200007F;
263
64'hFFFFFFFFFFFFEB28:   romout <= 64'h0C7FFFFFACEB2100;
264
64'hFFFFFFFFFFFFEB30:   romout <= 64'h0000627740000003;
265
64'hFFFFFFFFFFFFEB38:   romout <= 64'h03FBC00002037EF8;
266
64'hFFFFFFFFFFFFEB40:   romout <= 64'hFFED0A7F40000007;
267
64'hFFFFFFFFFFFFEB48:   romout <= 64'h0284201000031FFF;
268
64'hFFFFFFFFFFFFEB50:   romout <= 64'h0000050844000000;
269
64'hFFFFFFFFFFFFEB58:   romout <= 64'h188440000000A210;
270
64'hFFFFFFFFFFFFEB60:   romout <= 64'h0003211804000408;
271
64'hFFFFFFFFFFFFEB68:   romout <= 64'h10806000414BE110;
272
64'hFFFFFFFFFFFFEB70:   romout <= 64'h0010218886000000;
273
64'hFFFFFFFFFFFFEB78:   romout <= 64'h2774000000766008;
274
64'hFFFFFFFFFFFFEB80:   romout <= 64'h0000A0DFBE000020;
275
64'hFFFFFFFFFFFFEB88:   romout <= 64'h27F4000000F0FEF0;
276
64'hFFFFFFFFFFFFEB90:   romout <= 64'h000C00A100000554;
277
64'hFFFFFFFFFFFFEB98:   romout <= 64'h0104420001828800;
278
64'hFFFFFFFFFFFFEBA0:   romout <= 64'hA4A4A41004400009;
279
64'hFFFFFFFFFFFFEBA8:   romout <= 64'h0A300200000284A4;
280
64'hFFFFFFFFFFFFEBB0:   romout <= 64'h000000E300000001;
281
64'hFFFFFFFFFFFFEBB8:   romout <= 64'h028C600000464308;
282
64'hFFFFFFFFFFFFEBC0:   romout <= 64'h00003EF805FFFFAF;
283
64'hFFFFFFFFFFFFEBC8:   romout <= 64'h0DFBE0000289DD00;
284
64'hFFFFFFFFFFFFEBD0:   romout <= 64'h00003C3FBC000028;
285
64'hFFFFFFFFFFFFEBD8:   romout <= 64'h0A3FFDA00009FD00;
286
64'hFFFFFFFFFFFFEBE0:   romout <= 64'h00000908C2000000;
287
64'hFFFFFFFFFFFFEBE8:   romout <= 64'h0104420001842310;
288
64'hFFFFFFFFFFFFEBF0:   romout <= 64'h001050A100000020;
289
64'hFFFFFFFFFFFFEBF8:   romout <= 64'h0C7FFFFFB3242020;
290
64'hFFFFFFFFFFFFEC00:   romout <= 64'h000000A3FFD00000;
291
64'hFFFFFFFFFFFFEC08:   romout <= 64'h188C801000062308;
292
64'hFFFFFFFFFFFFEC10:   romout <= 64'hFFFEBC28C6000002;
293
64'hFFFFFFFFFFFFEC18:   romout <= 64'h2774000000FBE017;
294
64'hFFFFFFFFFFFFEC20:   romout <= 64'h0000A0DFBE000028;
295
64'hFFFFFFFFFFFFEC28:   romout <= 64'h27F4000000F0FEF0;
296
64'hFFFFFFFFFFFFEC30:   romout <= 64'h000000A3FFDA0000;
297
64'hFFFFFFFFFFFFEC38:   romout <= 64'h108C400000242308;
298
64'hFFFFFFFFFFFFEC40:   romout <= 64'h8000141044200018;
299
64'hFFFFFFFFFFFFEC48:   romout <= 64'h0A3FFD0000004208;
300
64'hFFFFFFFFFFFFEC50:   romout <= 64'h000001A8C2400001;
301
64'hFFFFFFFFFFFFEC58:   romout <= 64'h028C600000262320;
302
64'hFFFFFFFFFFFFEC60:   romout <= 64'h680002F805FFFF8F;
303
64'hFFFFFFFFFFFFEC68:   romout <= 64'h108C200000228FFF;
304
64'hFFFFFFFFFFFFEC70:   romout <= 64'hFFEC843842000001;
305
64'hFFFFFFFFFFFFEC78:   romout <= 64'h2774000000F31FFF;
306
64'hFFFFFFFFFFFFEC80:   romout <= 64'h000060DFBE000028;
307
64'hFFFFFFFFFFFFEC88:   romout <= 64'h27F000000070FEF0;
308
64'hFFFFFFFFFFFFEC90:   romout <= 64'h000000A3FFDA0000;
309
64'hFFFFFFFFFFFFEC98:   romout <= 64'h0108230001842310;
310
64'hFFFFFFFFFFFFECA0:   romout <= 64'h40000018C6080000;
311
64'hFFFFFFFFFFFFECA8:   romout <= 64'h0A1000000200A31F;
312
64'hFFFFFFFFFFFFECB0:   romout <= 64'h00000988C2000000;
313
64'hFFFFFFFFFFFFECB8:   romout <= 64'h2F80400000F0A318;
314
64'hFFFFFFFFFFFFECC0:   romout <= 64'h0000627700000007;
315
64'hFFFFFFFFFFFFECC8:   romout <= 64'h050420000FF37EF8;
316
64'hFFFFFFFFFFFFECD0:   romout <= 64'h60016AA040180041;
317
64'hFFFFFFFFFFFFECD8:   romout <= 64'h2B84018007AAC100;
318
64'hFFFFFFFFFFFFECE0:   romout <= 64'h000182A040080061;
319
64'hFFFFFFFFFFFFECE8:   romout <= 64'h058420001000C108;
320
64'hFFFFFFFFFFFFECF0:   romout <= 64'h0003FCDFBE000000;
321
64'hFFFFFFFFFFFFECF8:   romout <= 64'h2B84014001A14108;
322
64'hFFFFFFFFFFFFED00:   romout <= 64'h000000284200003C;
323
64'hFFFFFFFFFFFFED08:   romout <= 64'h1080200041637EF8;
324
64'hFFFFFFFFFFFFED10:   romout <= 64'h680000504200007F;
325
64'hFFFFFFFFFFFFED18:   romout <= 64'h208C400000028FFF;
326
64'hFFFFFFFFFFFFED20:   romout <= 64'h0010601082200018;
327
64'hFFFFFFFFFFFFED28:   romout <= 64'h0504200007F42008;
328
64'hFFFFFFFFFFFFED30:   romout <= 64'h0000581082200003;
329
64'hFFFFFFFFFFFFED38:   romout <= 64'h0188408000092310;
330
64'hFFFFFFFFFFFFED40:   romout <= 64'h0000002883D00000;
331
64'hFFFFFFFFFFFFED48:   romout <= 64'h2C84018000D37EF8;
332
64'hFFFFFFFFFFFFED50:   romout <= 64'h0000018800000418;
333
64'hFFFFFFFFFFFFED58:   romout <= 64'h2C84038009137EF8;
334
64'hFFFFFFFFFFFFED60:   romout <= 64'h0000003FBC000008;
335
64'hFFFFFFFFFFFFED68:   romout <= 64'h1080400041867E10;
336
64'hFFFFFFFFFFFFED70:   romout <= 64'h000006C080100038;
337
64'hFFFFFFFFFFFFED78:   romout <= 64'h188040004180A210;
338
64'hFFFFFFFFFFFFED80:   romout <= 64'h0000211F84000000;
339
64'hFFFFFFFFFFFFED88:   romout <= 64'h2C84034009037EF8;
340
64'hFFFFFFFFFFFFED90:   romout <= 64'h0000003FBC000008;
341
64'hFFFFFFFFFFFFED98:   romout <= 64'h1080400041667E10;
342
64'hFFFFFFFFFFFFEDA0:   romout <= 64'h000006C0BFE00000;
343
64'hFFFFFFFFFFFFEDA8:   romout <= 64'h188040004160E210;
344
64'hFFFFFFFFFFFFEDB0:   romout <= 64'hC0024EF801FFFE8A;
345
64'hFFFFFFFFFFFFEDB8:   romout <= 64'h03FBC000008B2100;
346
64'hFFFFFFFFFFFFEDC0:   romout <= 64'h0010619F84000000;
347
64'hFFFFFFFFFFFFEDC8:   romout <= 64'h2C0BFC0000042010;
348
64'hFFFFFFFFFFFFEDD0:   romout <= 64'h0010603884000001;
349
64'hFFFFFFFFFFFFEDD8:   romout <= 64'h2F801FFFD8A62010;
350
64'hFFFFFFFFFFFFEDE0:   romout <= 64'h000022C840280092;
351
64'hFFFFFFFFFFFFEDE8:   romout <= 64'h19F840000000FEF0;
352
64'hFFFFFFFFFFFFEDF0:   romout <= 64'h4000790804000416;
353
64'hFFFFFFFFFFFFEDF8:   romout <= 64'h02884000001B02FE;
354
64'hFFFFFFFFFFFFEE00:   romout <= 64'hFFF0298804000416;
355
64'hFFFFFFFFFFFFEE08:   romout <= 64'h2C840380094BE007;
356
64'hFFFFFFFFFFFFEE10:   romout <= 64'h0000003FBC000008;
357
64'hFFFFFFFFFFFFEE18:   romout <= 64'h1080400041867E10;
358
64'hFFFFFFFFFFFFEE20:   romout <= 64'h001062F880000088;
359
64'hFFFFFFFFFFFFEE28:   romout <= 64'h2F801FFFB0A62000;
360
64'hFFFFFFFFFFFFEE30:   romout <= 64'hFFEA298800000416;
361
64'hFFFFFFFFFFFFEE38:   romout <= 64'h03FBC000030BE007;
362
64'hFFFFFFFFFFFFEE40:   romout <= 64'h8002667F4000001F;
363
64'hFFFFFFFFFFFFEE48:   romout <= 64'h0C7FFFFFB42B2100;
364
64'hFFFFFFFFFFFFEE50:   romout <= 64'h0010601002300009;
365
64'hFFFFFFFFFFFFEE58:   romout <= 64'h2F8000001CA42008;
366
64'hFFFFFFFFFFFFEE60:   romout <= 64'h001062C840600008;
367
64'hFFFFFFFFFFFFEE68:   romout <= 64'h2F8800004C842010;
368
64'hFFFFFFFFFFFFEE70:   romout <= 64'h0010603884000001;
369
64'hFFFFFFFFFFFFEE78:   romout <= 64'h0C7FFFFFB4262010;
370
64'hFFFFFFFFFFFFEE80:   romout <= 64'h0010601002300009;
371
64'hFFFFFFFFFFFFEE88:   romout <= 64'h108C400000242008;
372
64'hFFFFFFFFFFFFEE90:   romout <= 64'h00000988C4000000;
373
64'hFFFFFFFFFFFFEE98:   romout <= 64'h028420000010A318;
374
64'hFFFFFFFFFFFFEEA0:   romout <= 64'h000000A4FFDA0000;
375
64'hFFFFFFFFFFFFEEA8:   romout <= 64'h2F84BFFFF4482428;
376
64'hFFFFFFFFFFFFEEB0:   romout <= 64'hFFFFF8A200000020;
377
64'hFFFFFFFFFFFFEEB8:   romout <= 64'h2F80000024A62317;
378
64'hFFFFFFFFFFFFEEC0:   romout <= 64'h000026C04034000A;
379
64'hFFFFFFFFFFFFEEC8:   romout <= 64'h0C7FFFFFB4204009;
380
64'hFFFFFFFFFFFFEED0:   romout <= 64'h4000241002300009;
381
64'hFFFFFFFFFFFFEED8:   romout <= 64'h0C7FFFFFB3204020;
382
64'hFFFFFFFFFFFFEEE0:   romout <= 64'hFFEF058803D00000;
383
64'hFFFFFFFFFFFFEEE8:   romout <= 64'h2774000001F31FFF;
384
64'hFFFFFFFFFFFFEEF0:   romout <= 64'hFFEF44DFBE000030;
385
64'hFFFFFFFFFFFFEEF8:   romout <= 64'h2774000001F31FFF;
386
64'hFFFFFFFFFFFFEF00:   romout <= 64'h000080DFBE000030;
387
64'hFFFFFFFFFFFFEF08:   romout <= 64'h27F400000070FEF0;
388
64'hFFFFFFFFFFFFEF10:   romout <= 64'h000058A3FFDA0000;
389
64'hFFFFFFFFFFFFEF18:   romout <= 64'h0284200000182308;
390
64'hFFFFFFFFFFFFEF20:   romout <= 64'h00106248C2000016;
391
64'hFFFFFFFFFFFFEF28:   romout <= 64'h0284200000142008;
392
64'hFFFFFFFFFFFFEF30:   romout <= 64'h0000018802000418;
393
64'hFFFFFFFFFFFFEF38:   romout <= 64'h2F8440002C682310;
394
64'hFFFFFFFFFFFFEF40:   romout <= 64'h0010598800000418;
395
64'hFFFFFFFFFFFFEF48:   romout <= 64'h0284200000142008;
396
64'hFFFFFFFFFFFFEF50:   romout <= 64'h6800018802000416;
397
64'hFFFFFFFFFFFFEF58:   romout <= 64'h208C400000228FFF;
398
64'hFFFFFFFFFFFFEF60:   romout <= 64'h000006F844000146;
399
64'hFFFFFFFFFFFFEF68:   romout <= 64'h188040004160E210;
400
64'hFFFFFFFFFFFFEF70:   romout <= 64'h00005908C4000000;
401
64'hFFFFFFFFFFFFEF78:   romout <= 64'h0104410000542308;
402
64'hFFFFFFFFFFFFEF80:   romout <= 64'hFFEC2588C2000016;
403
64'hFFFFFFFFFFFFEF88:   romout <= 64'h2774000000731FFF;
404
64'hFFFFFFFFFFFFEF90:   romout <= 64'h000060DFBE000020;
405
64'hFFFFFFFFFFFFEF98:   romout <= 64'h27F400000030DEF0;
406
64'hFFFFFFFFFFFFEFA0:   romout <= 64'h0000001040200009;
407
64'hFFFFFFFFFFFFEFA8:   romout <= 64'h028840000014A208;
408
64'hFFFFFFFFFFFFEFB0:   romout <= 64'hFFED4AF840000088;
409
64'hFFFFFFFFFFFFEFB8:   romout <= 64'h2F801FFFFAA31FFF;
410
64'hFFFFFFFFFFFFEFC0:   romout <= 64'h0000627740000003;
411
64'hFFFFFFFFFFFFEFC8:   romout <= 64'h03FBC00000837EF8;
412
64'hFFFFFFFFFFFFEFD0:   romout <= 64'hFFEF959FBE000000;
413
64'hFFFFFFFFFFFFEFD8:   romout <= 64'h11FBE00000031FFF;
414
64'hFFFFFFFFFFFFEFE0:   romout <= 64'h0000402FBC000008;
415
64'hFFFFFFFFFFFFEFE8:   romout <= 64'h19F820000000DEF0;
416
64'hFFFFFFFFFFFFEFF0:   romout <= 64'h0000359FBE000008;
417
64'hFFFFFFFFFFFFEFF8:   romout <= 64'h0C7FFFFFB5228400;
418
64'hFFFFFFFFFFFFF000:   romout <= 64'hFFED48A10000000A;
419
64'hFFFFFFFFFFFFF008:   romout <= 64'h11F8200000031FFF;
420
64'hFFFFFFFFFFFFF010:   romout <= 64'h0000411FBE000008;
421
64'hFFFFFFFFFFFFF018:   romout <= 64'h037BC00001037EF8;
422
64'hFFFFFFFFFFFFF020:   romout <= 64'h0000019FBE000008;
423
64'hFFFFFFFFFFFFF028:   romout <= 64'h0504200000F67E08;
424
64'hFFFFFFFFFFFFF030:   romout <= 64'h4000E42042000030;
425
64'hFFFFFFFFFFFFF038:   romout <= 64'h02042000007AC100;
426
64'hFFFFFFFFFFFFF040:   romout <= 64'h000000C7FFFFFB52;
427
64'hFFFFFFFFFFFFF048:   romout <= 64'h11FBE00000847E08;
428
64'hFFFFFFFFFFFFF050:   romout <= 64'h000040DFBE000010;
429
64'hFFFFFFFFFFFFF058:   romout <= 64'h27F400000010FEF0;
430
64'hFFFFFFFFFFFFF060:   romout <= 64'hFFF0181842200004;
431
64'hFFFFFFFFFFFFF068:   romout <= 64'h0184220000231FFF;
432
64'hFFFFFFFFFFFFF070:   romout <= 64'h000004C7FFFFFC06;
433
64'hFFFFFFFFFFFFF078:   romout <= 64'h0DFBE0000109DD00;
434
64'hFFFFFFFFFFFFF080:   romout <= 64'h0000143FBC000018;
435
64'hFFFFFFFFFFFFF088:   romout <= 64'h0A3000000079FD00;
436
64'hFFFFFFFFFFFFF090:   romout <= 64'hFFF0541842400002;
437
64'hFFFFFFFFFFFFF098:   romout <= 64'h2F80600000F31FFF;
438
64'hFFFFFFFFFFFFF0A0:   romout <= 64'h0000627740000005;
439
64'hFFFFFFFFFFFFF0A8:   romout <= 64'h0A10000003A37EF8;
440
64'hFFFFFFFFFFFFF0B0:   romout <= 64'h400024C7FFFFFB52;
441
64'hFFFFFFFFFFFFF0B8:   romout <= 64'h0C7FFFFFC2004200;
442
64'hFFFFFFFFFFFFF0C0:   romout <= 64'h000080A300000007;
443
64'hFFFFFFFFFFFFF0C8:   romout <= 64'h0C7FFFFFB5228400;
444
64'hFFFFFFFFFFFFF0D0:   romout <= 64'hFFF0550082000000;
445
64'hFFFFFFFFFFFFF0D8:   romout <= 64'h0288400000131FFF;
446
64'hFFFFFFFFFFFFF0E0:   romout <= 64'hFFEFE6F807FFFF2F;
447
64'hFFFFFFFFFFFFF0E8:   romout <= 64'h03FBC00003033FFF;
448
64'hFFFFFFFFFFFFF0F0:   romout <= 64'h00002A7F000000FC;
449
64'hFFFFFFFFFFFFF0F8:   romout <= 64'h0A80000001328800;
450
64'hFFFFFFFFFFFFF100:   romout <= 64'h800000104430001C;
451
64'hFFFFFFFFFFFFF108:   romout <= 64'h0194FE000000631F;
452
64'hFFFFFFFFFFFFF110:   romout <= 64'h0000241908200001;
453
64'hFFFFFFFFFFFFF118:   romout <= 64'h0194A20000104439;
454
64'hFFFFFFFFFFFFF120:   romout <= 64'h0000001146500009;
455
64'hFFFFFFFFFFFFF128:   romout <= 64'h2F811FFFF0F1C108;
456
64'hFFFFFFFFFFFFF130:   romout <= 64'h0000001909800001;
457
64'hFFFFFFFFFFFFF138:   romout <= 64'h0110C40000906532;
458
64'hFFFFFFFFFFFFF140:   romout <= 64'h400024194B800001;
459
64'hFFFFFFFFFFFFF148:   romout <= 64'h0100A20000904020;
460
64'hFFFFFFFFFFFFF150:   romout <= 64'h0000C277000000FC;
461
64'hFFFFFFFFFFFFF158:   romout <= 64'h03FBC00002037EF8;
462
64'hFFFFFFFFFFFFF160:   romout <= 64'h00003E7F0000009C;
463
64'hFFFFFFFFFFFFF168:   romout <= 64'h0504400000F2A000;
464
64'hFFFFFFFFFFFFF170:   romout <= 64'h0000005884000030;
465
64'hFFFFFFFFFFFFF178:   romout <= 64'h0190840000106217;
466
64'hFFFFFFFFFFFFF180:   romout <= 64'h00002418CBC00000;
467
64'hFFFFFFFFFFFFF188:   romout <= 64'h018C640000104429;
468
64'hFFFFFFFFFFFFF190:   romout <= 64'h80000410C4300009;
469
64'hFFFFFFFFFFFFF198:   romout <= 64'h2F811FFFECF06108;
470
64'hFFFFFFFFFFFFF1A0:   romout <= 64'h8000241008100009;
471
64'hFFFFFFFFFFFFF1A8:   romout <= 64'h2770000009C04018;
472
64'hFFFFFFFFFFFFF1B0:   romout <= 64'h0000E0DFBE000020;
473
64'hFFFFFFFFFFFFF1B8:   romout <= 64'h27F400007C40FEF0;
474
64'hFFFFFFFFFFFFF1C0:   romout <= 64'hFFF0E81004B00009;
475
64'hFFFFFFFFFFFFF1C8:   romout <= 64'h01004A0000931FFF;
476
64'hFFFFFFFFFFFFF1D0:   romout <= 64'h000004C7FFFFFC56;
477
64'hFFFFFFFFFFFFF1D8:   romout <= 64'h0A8000000072A400;
478
64'hFFFFFFFFFFFFF1E0:   romout <= 64'h0000001A4E180000;
479
64'hFFFFFFFFFFFFF1E8:   romout <= 64'h029CE0000040A738;
480
64'hFFFFFFFFFFFFF1F0:   romout <= 64'hC0004050460000FF;
481
64'hFFFFFFFFFFFFF1F8:   romout <= 64'h018424000016A758;
482
64'hFFFFFFFFFFFFF200:   romout <= 64'h400026F811FFFF0F;
483
64'hFFFFFFFFFFFFF208:   romout <= 64'h2F813FFFECF04010;
484
64'hFFFFFFFFFFFFF210:   romout <= 64'hFFF1581014100009;
485
64'hFFFFFFFFFFFFF218:   romout <= 64'h0A80000000331FFF;
486
64'hFFFFFFFFFFFFF220:   romout <= 64'hC0004050460000FF;
487
64'hFFFFFFFFFFFFF228:   romout <= 64'h018424000016A858;
488
64'hFFFFFFFFFFFFF230:   romout <= 64'h000052F811FFFF8F;
489
64'hFFFFFFFFFFFFF238:   romout <= 64'h277400007C460B00;
490
64'hFFFFFFFFFFFFF240:   romout <= 64'hFFBFE0DFBE000038;
491
64'hFFFFFFFFFFFFF248:   romout <= 64'h1800000041A2FBFF;
492
64'hFFFFFFFFFFFFF250:   romout <= 64'h000090C7FFFFFBF9;
493
64'hFFFFFFFFFFFFF258:   romout <= 64'h0C7FFFFFB5228400;
494
64'hFFFFFFFFFFFFF260:   romout <= 64'h0FFFFCC7FFFFFA8A;
495
64'hFFFFFFFFFFFFF268:   romout <= 64'h2C04018000DB0100;
496
64'hFFFFFFFFFFFFF270:   romout <= 64'hFFFE28C7FFFFFB52;
497
64'hFFFFFFFFFFFFF278:   romout <= 64'h18800000418BE007;
498
64'hFFFFFFFFFFFFF280:   romout <= 64'hC00024C7FFFFFB42;
499
64'hFFFFFFFFFFFFF288:   romout <= 64'h108C200000004100;
500
64'hFFFFFFFFFFFFF290:   romout <= 64'hFFECF428C6000002;
501
64'hFFFFFFFFFFFFF298:   romout <= 64'h2C84020002431FFF;
502
64'hFFFFFFFFFFFFF2A0:   romout <= 64'h00000908C2000000;
503
64'hFFFFFFFFFFFFF2A8:   romout <= 64'h0C7FFFFFB3D0A318;
504
64'hFFFFFFFFFFFFF2B0:   romout <= 64'h000112C04130003A;
505
64'hFFFFFFFFFFFFF2B8:   romout <= 64'h2C075500042B0106;
506
64'hFFFFFFFFFFFFF2C0:   romout <= 64'h800132C04154004A;
507
64'hFFFFFFFFFFFFF2C8:   romout <= 64'h2C04050003FB0109;
508
64'hFFFFFFFFFFFFF2D0:   romout <= 64'hFFEEAAC040080043;
509
64'hFFFFFFFFFFFFF2D8:   romout <= 64'h108C2000000BE007;
510
64'hFFFFFFFFFFFFF2E0:   romout <= 64'hFFECF428C6000002;
511
64'hFFFFFFFFFFFFF2E8:   romout <= 64'h2C87F64004C31FFF;
512
64'hFFFFFFFFFFFFF2F0:   romout <= 64'h00000908C2000000;
513
64'hFFFFFFFFFFFFF2F8:   romout <= 64'h0C7FFFFFB3D0A318;
514
64'hFFFFFFFFFFFFF300:   romout <= 64'hFFEBD2C87F440053;
515
64'hFFFFFFFFFFFFF308:   romout <= 64'h2F801FFFA2A31FFF;
516
64'hFFFFFFFFFFFFF310:   romout <= 64'hFFEF94A1FFFFF320;
517
64'hFFFFFFFFFFFFF318:   romout <= 64'h2F801FFF9AA31FFF;
518
64'hFFFFFFFFFFFFF320:   romout <= 64'h70736944203D203F;
519
64'hFFFFFFFFFFFFF328:   romout <= 64'h706C65682079616C;
520
64'hFFFFFFFFFFFFF330:   romout <= 64'h203D20534C430A0D;
521
64'hFFFFFFFFFFFFF338:   romout <= 64'h6373207261656C63;
522
64'hFFFFFFFFFFFFF340:   romout <= 64'h203A0A0D6E656572;
523
64'hFFFFFFFFFFFFF348:   romout <= 64'h6D2074696445203D;
524
64'hFFFFFFFFFFFFF350:   romout <= 64'h79622079726F6D65;
525
64'hFFFFFFFFFFFFF358:   romout <= 64'h3D204C0A0D736574;
526
64'hFFFFFFFFFFFFF360:   romout <= 64'h31532064616F4C20;
527
64'hFFFFFFFFFFFFF368:   romout <= 64'h0A0D656C69662039;
528
64'hFFFFFFFFFFFFF370:   romout <= 64'h706D7544203D2044;
529
64'hFFFFFFFFFFFFF378:   romout <= 64'h0D79726F6D656D20;
530
64'hFFFFFFFFFFFFF380:   romout <= 64'h617473203D20420A;
531
64'hFFFFFFFFFFFFF388:   romout <= 64'h20796E6974207472;
532
64'hFFFFFFFFFFFFF390:   romout <= 64'h4A0A0D6369736162;
533
64'hFFFFFFFFFFFFF398:   romout <= 64'h20706D754A203D20;
534
64'hFFFFFFFFFFFFF3A0:   romout <= 64'h0D65646F63206F74;
535
64'hFFFFFFFFFFFFF3A8:   romout <= 64'hFFFFFFFFFFFF000A;
536
64'hFFFFFFFFFFFFF3B0:   romout <= 64'h0000003FBC000008;
537
64'hFFFFFFFFFFFFF3B8:   romout <= 64'h108C200000067EF8;
538
64'hFFFFFFFFFFFFF3C0:   romout <= 64'hFFECF428C6000002;
539
64'hFFFFFFFFFFFFF3C8:   romout <= 64'h2C07FF0002031FFF;
540
64'hFFFFFFFFFFFFF3D0:   romout <= 64'h00000038C6000002;
541
64'hFFFFFFFFFFFFF3D8:   romout <= 64'h0DFBE00000847EF8;
542
64'hFFFFFFFFFFFFF3E0:   romout <= 64'hFFF474C7FFFFFCEC;
543
64'hFFFFFFFFFFFFF3E8:   romout <= 64'h0104050000931FFF;
544
64'hFFFFFFFFFFFFF3F0:   romout <= 64'hFFF3B0A400000007;
545
64'hFFFFFFFFFFFFF3F8:   romout <= 64'h0C7FFFFFD1D31FFF;
546
64'hFFFFFFFFFFFFF400:   romout <= 64'h0000058142000000;
547
64'hFFFFFFFFFFFFF408:   romout <= 64'h2F809FFFFAF0A528;
548
64'hFFFFFFFFFFFFF410:   romout <= 64'hFFF3B2F801FFF1AA;
549
64'hFFFFFFFFFFFFF418:   romout <= 64'h0C7FFFFFD1D31FFF;
550
64'hFFFFFFFFFFFFF420:   romout <= 64'h0000001040300009;
551
64'hFFFFFFFFFFFFF428:   romout <= 64'h2F801FFF12A343F8;
552
64'hFFFFFFFFFFFFF430:   romout <= 64'hFFF474C7FFFFFCEC;
553
64'hFFFFFFFFFFFFF438:   romout <= 64'h0104020000931FFF;
554
64'hFFFFFFFFFFFFF440:   romout <= 64'hFFF0A8C7FFFFFBF9;
555
64'hFFFFFFFFFFFFF448:   romout <= 64'h0C7FFFFFC2A31FFF;
556
64'hFFFFFFFFFFFFF450:   romout <= 64'hFFF0A8C7FFFFFC2A;
557
64'hFFFFFFFFFFFFF458:   romout <= 64'h0C7FFFFFC2A31FFF;
558
64'hFFFFFFFFFFFFF460:   romout <= 64'hFFF0A8C7FFFFFC2A;
559
64'hFFFFFFFFFFFFF468:   romout <= 64'h0C7FFFFFC2A31FFF;
560
64'hFFFFFFFFFFFFF470:   romout <= 64'h000062F801FFEEAA;
561
64'hFFFFFFFFFFFFF478:   romout <= 64'h27F4000000A0FEF0;
562
64'hFFFFFFFFFFFFF480:   romout <= 64'h00003CA200000000;
563
64'hFFFFFFFFFFFFF488:   romout <= 64'h108C200000029000;
564
64'hFFFFFFFFFFFFF490:   romout <= 64'hFFECF428C6000002;
565
64'hFFFFFFFFFFFFF498:   romout <= 64'h0C7FFFFFD3231FFF;
566
64'hFFFFFFFFFFFFF4A0:   romout <= 64'h800002C0401BFFFF;
567
64'hFFFFFFFFFFFFF4A8:   romout <= 64'h0504200000F06210;
568
64'hFFFFFFFFFFFFF4B0:   romout <= 64'hFFFB3C1082200009;
569
64'hFFFFFFFFFFFFF4B8:   romout <= 64'h01080100009BE027;
570
64'hFFFFFFFFFFFFF4C0:   romout <= 64'h000062774000000A;
571
64'hFFFFFFFFFFFFF4C8:   romout <= 64'h2A04054003037EF8;
572
64'hFFFFFFFFFFFFF4D0:   romout <= 64'h0000C2B840100039;
573
64'hFFFFFFFFFFFFF4D8:   romout <= 64'h0DFBE0000000E108;
574
64'hFFFFFFFFFFFFF4E0:   romout <= 64'h60011AA040340041;
575
64'hFFFFFFFFFFFFF4E8:   romout <= 64'h03842000041AE100;
576
64'hFFFFFFFFFFFFF4F0:   romout <= 64'h000000284200000A;
577
64'hFFFFFFFFFFFFF4F8:   romout <= 64'h2A04024006137EF8;
578
64'hFFFFFFFFFFFFF500:   romout <= 64'h000186B840140066;
579
64'hFFFFFFFFFFFFF508:   romout <= 64'h0284200000A0E108;
580
64'hFFFFFFFFFFFFF510:   romout <= 64'hFFFFFCDFBE000000;
581
64'hFFFFFFFFFFFFF518:   romout <= 64'h0DFBE000000287FF;
582
64'hFFFFFFFFFFFFF520:   romout <= 64'hFFF782F80000008A;
583
64'hFFFFFFFFFFFFF528:   romout <= 64'h2C84004000A31FFF;
584
64'hFFFFFFFFFFFFF530:   romout <= 64'h500068C7FFFFFDE0;
585
64'hFFFFFFFFFFFFF538:   romout <= 64'h2C87FF40053B01F4;
586
64'hFFFFFFFFFFFFF540:   romout <= 64'h9000C0C7FFFFFDE0;
587
64'hFFFFFFFFFFFFF548:   romout <= 64'h2987FE40039A01FF;
588
64'hFFFFFFFFFFFFF550:   romout <= 64'hFFF7801040400009;
589
64'hFFFFFFFFFFFFF558:   romout <= 64'h0C7FFFFFD3231FFF;
590
64'hFFFFFFFFFFFFF560:   romout <= 64'hFFF7801040200009;
591
64'hFFFFFFFFFFFFF568:   romout <= 64'h0C7FFFFFD3231FFF;
592
64'hFFFFFFFFFFFFF570:   romout <= 64'h8000241884200000;
593
64'hFFFFFFFFFFFFF578:   romout <= 64'h0108230000904208;
594
64'hFFFFFFFFFFFFF580:   romout <= 64'h9000C6C13FA40030;
595
64'hFFFFFFFFFFFFF588:   romout <= 64'h2C100B00032B0402;
596
64'hFFFFFFFFFFFFF590:   romout <= 64'h5000D6C100A80033;
597
64'hFFFFFFFFFFFFF598:   romout <= 64'h2C100B40037B04FE;
598
64'hFFFFFFFFFFFFF5A0:   romout <= 64'h1000E6C100B40038;
599
64'hFFFFFFFFFFFFF5A8:   romout <= 64'h2F801FFFC2AB0403;
600
64'hFFFFFFFFFFFFF5B0:   romout <= 64'h00000450C60000FF;
601
64'hFFFFFFFFFFFFF5B8:   romout <= 64'h0C7FFFFFDE00E318;
602
64'hFFFFFFFFFFFFF5C0:   romout <= 64'h800000C7FFFFFD32;
603
64'hFFFFFFFFFFFFF5C8:   romout <= 64'h0108220000906210;
604
64'hFFFFFFFFFFFFF5D0:   romout <= 64'hFFF4C8C7FFFFFDE0;
605
64'hFFFFFFFFFFFFF5D8:   romout <= 64'h0188420000031FFF;
606
64'hFFFFFFFFFFFFF5E0:   romout <= 64'h0000001082200009;
607
64'hFFFFFFFFFFFFF5E8:   romout <= 64'h0294A00000160510;
608
64'hFFFFFFFFFFFFF5F0:   romout <= 64'hFFF782F807FFFE4F;
609
64'hFFFFFFFFFFFFF5F8:   romout <= 64'h0C7FFFFFD3231FFF;
610
64'hFFFFFFFFFFFFF600:   romout <= 64'h8000241884200000;
611
64'hFFFFFFFFFFFFF608:   romout <= 64'h0C7FFFFFDE004208;
612
64'hFFFFFFFFFFFFF610:   romout <= 64'h800000C7FFFFFD32;
613
64'hFFFFFFFFFFFFF618:   romout <= 64'h0108220000906210;
614
64'hFFFFFFFFFFFFF620:   romout <= 64'hFFF676F801FFF82A;
615
64'hFFFFFFFFFFFFF628:   romout <= 64'h2F801FFFC8A31FFF;
616
64'hFFFFFFFFFFFFF630:   romout <= 64'hFFF028C7FFFFFDA5;
617
64'hFFFFFFFFFFFFF638:   romout <= 64'h0C7FFFFFDADBE007;
618
64'hFFFFFFFFFFFFF640:   romout <= 64'hFFF6B6F801FFFB8A;
619
64'hFFFFFFFFFFFFF648:   romout <= 64'h1980A00000031FFF;
620
64'hFFFFFFFFFFFFF650:   romout <= 64'hFFF696F801FFDFAA;
621
64'hFFFFFFFFFFFFF658:   romout <= 64'h1980A00000031FFF;
622
64'hFFFFFFFFFFFFF660:   romout <= 64'hFFF676F801FFDF2A;
623
64'hFFFFFFFFFFFFF668:   romout <= 64'h1980A00000031FFF;
624
64'hFFFFFFFFFFFFF670:   romout <= 64'h000022F801FFDEAA;
625
64'hFFFFFFFFFFFFF678:   romout <= 64'h19FBE0000000FEF0;
626
64'hFFFFFFFFFFFFF680:   romout <= 64'hFFF4C8C7FFFFFDE0;
627
64'hFFFFFFFFFFFFF688:   romout <= 64'h0104020000931FFF;
628
64'hFFFFFFFFFFFFF690:   romout <= 64'h000022F8000004AA;
629
64'hFFFFFFFFFFFFF698:   romout <= 64'h19FBE0000000FEF0;
630
64'hFFFFFFFFFFFFF6A0:   romout <= 64'hFFF4C8C7FFFFFDE0;
631
64'hFFFFFFFFFFFFF6A8:   romout <= 64'h0104020000931FFF;
632
64'hFFFFFFFFFFFFF6B0:   romout <= 64'h000022F80000024A;
633
64'hFFFFFFFFFFFFF6B8:   romout <= 64'h19FBE0000000FEF0;
634
64'hFFFFFFFFFFFFF6C0:   romout <= 64'hFFF4C8C7FFFFFDE0;
635
64'hFFFFFFFFFFFFF6C8:   romout <= 64'h0104020000931FFF;
636
64'hFFFFFFFFFFFFF6D0:   romout <= 64'hFFF4C8C7FFFFFDE0;
637
64'hFFFFFFFFFFFFF6D8:   romout <= 64'h0188420000031FFF;
638
64'hFFFFFFFFFFFFF6E0:   romout <= 64'hFFF7801044200009;
639
64'hFFFFFFFFFFFFF6E8:   romout <= 64'h0C7FFFFFD3231FFF;
640
64'hFFFFFFFFFFFFF6F0:   romout <= 64'h8000241884200000;
641
64'hFFFFFFFFFFFFF6F8:   romout <= 64'h0C7FFFFFDE004208;
642
64'hFFFFFFFFFFFFF700:   romout <= 64'h800000C7FFFFFD32;
643
64'hFFFFFFFFFFFFF708:   romout <= 64'h0108220000906210;
644
64'hFFFFFFFFFFFFF710:   romout <= 64'hFFF4C8C7FFFFFDE0;
645
64'hFFFFFFFFFFFFF718:   romout <= 64'h0188420000031FFF;
646
64'hFFFFFFFFFFFFF720:   romout <= 64'hFFF7801082200009;
647
64'hFFFFFFFFFFFFF728:   romout <= 64'h0C7FFFFFD3231FFF;
648
64'hFFFFFFFFFFFFF730:   romout <= 64'h8000241884200000;
649
64'hFFFFFFFFFFFFF738:   romout <= 64'h0C7FFFFFDE004208;
650
64'hFFFFFFFFFFFFF740:   romout <= 64'h800000C7FFFFFD32;
651
64'hFFFFFFFFFFFFF748:   romout <= 64'h0108220000906210;
652
64'hFFFFFFFFFFFFF750:   romout <= 64'hFFF4C8C7FFFFFDE0;
653
64'hFFFFFFFFFFFFF758:   romout <= 64'h0188420000031FFF;
654
64'hFFFFFFFFFFFFF760:   romout <= 64'h0000281082200009;
655
64'hFFFFFFFFFFFFF768:   romout <= 64'h0108050000904421;
656
64'hFFFFFFFFFFFFF770:   romout <= 64'h0000211FBE000000;
657
64'hFFFFFFFFFFFFF778:   romout <= 64'h0DFBE0000000BEF0;
658
64'hFFFFFFFFFFFFF780:   romout <= 64'h0000003FBC000008;
659
64'hFFFFFFFFFFFFF788:   romout <= 64'h0C7FFFFFA9E67EF8;
660
64'hFFFFFFFFFFFFF790:   romout <= 64'hFFEA2AF841FF8D88;
661
64'hFFFFFFFFFFFFF798:   romout <= 64'h2C07AB4000031FFF;
662
64'hFFFFFFFFFFFFF7A0:   romout <= 64'hFFFD20C7FFFFFDEE;
663
64'hFFFFFFFFFFFFF7A8:   romout <= 64'h11FBE000000BE107;
664
64'hFFFFFFFFFFFFF7B0:   romout <= 64'h0000002FBC000008;
665
64'hFFFFFFFFFFFFF7B8:   romout <= 64'h20003DC0A0137EF8;
666
64'hFFFFFFFFFFFFF7C0:   romout <= 64'h0002A05042000001;
667
64'hFFFFFFFFFFFFF7C8:   romout <= 64'h20003DC0A00BE100;
668
64'hFFFFFFFFFFFFF7D0:   romout <= 64'h000000504200007F;
669
64'hFFFFFFFFFFFFF7D8:   romout <= 64'h0CFFFFFFC9137EF8;
670
64'hFFFFFFFFFFFFF7E0:   romout <= 64'h726F747061520A0D;
671
64'hFFFFFFFFFFFFF7E8:   romout <= 64'h20796E6954203436;
672
64'hFFFFFFFFFFFFF7F0:   romout <= 64'h3176204349534142;
673
64'hFFFFFFFFFFFFF7F8:   romout <= 64'h202943280A0D302E;
674
64'hFFFFFFFFFFFFF800:   romout <= 64'h6F52202032313032;
675
64'hFFFFFFFFFFFFF808:   romout <= 64'h6E69462074726562;
676
64'hFFFFFFFFFFFFF810:   romout <= 64'h0A0D000A0A0D6863;
677
64'hFFFFFFFFFFFFF818:   romout <= 64'h616857000A0D4B4F;
678
64'hFFFFFFFFFFFFF820:   romout <= 64'h726F53000A0D3F74;
679
64'hFFFFFFFFFFFFF828:   romout <= 64'h6F43000A0D2E7972;
680
64'hFFFFFFFFFFFFF830:   romout <= 64'h4C4620746361706D;
681
64'hFFFFFFFFFFFFF838:   romout <= 64'h6461657220485341;
682
64'hFFFFFFFFFFFFF840:   romout <= 64'h0A0D726F72726520;
683
64'hFFFFFFFFFFFFF848:   romout <= 64'h207265626D754E00;
684
64'hFFFFFFFFFFFFF850:   romout <= 64'h62206F6F74207369;
685
64'hFFFFFFFFFFFFF858:   romout <= 64'h766944000A0D6769;
686
64'hFFFFFFFFFFFFF860:   romout <= 64'h7962206E6F697369;
687
64'hFFFFFFFFFFFFF868:   romout <= 64'h000A0D6F72657A20;
688
64'hFFFFFFFFFFFFF870:   romout <= 64'h7620666F2074754F;
689
64'hFFFFFFFFFFFFF878:   romout <= 64'h20656C6261697261;
690
64'hFFFFFFFFFFFFF880:   romout <= 64'h000A0D6563617073;
691
64'hFFFFFFFFFFFFF888:   romout <= 64'h6620736574796220;
692
64'hFFFFFFFFFFFFF890:   romout <= 64'h0A0D000A0D656572;
693
64'hFFFFFFFFFFFFF898:   romout <= 64'h000A0D7964616552;
694
64'hFFFFFFFFFFFFF8A0:   romout <= 64'h6E69746365707845;
695
64'hFFFFFFFFFFFFF8A8:   romout <= 64'h6D6D6F6320612067;
696
64'hFFFFFFFFFFFFF8B0:   romout <= 64'h656E694C000A0D61;
697
64'hFFFFFFFFFFFFF8B8:   romout <= 64'h207265626D756E20;
698
64'hFFFFFFFFFFFFF8C0:   romout <= 64'h0D676962206F6F74;
699
64'hFFFFFFFFFFFFF8C8:   romout <= 64'h746365707845000A;
700
64'hFFFFFFFFFFFFF8D0:   romout <= 64'h6176206120676E69;
701
64'hFFFFFFFFFFFFF8D8:   romout <= 64'h0A0D656C62616972;
702
64'hFFFFFFFFFFFFF8E0:   romout <= 64'h64616220444E5200;
703
64'hFFFFFFFFFFFFF8E8:   romout <= 64'h74656D6172617020;
704
64'hFFFFFFFFFFFFF8F0:   romout <= 64'h535953000A0D7265;
705
64'hFFFFFFFFFFFFF8F8:   romout <= 64'h6464612064616220;
706
64'hFFFFFFFFFFFFF900:   romout <= 64'h49000A0D73736572;
707
64'hFFFFFFFFFFFFF908:   romout <= 64'h707865205455504E;
708
64'hFFFFFFFFFFFFF910:   romout <= 64'h6120676E69746365;
709
64'hFFFFFFFFFFFFF918:   romout <= 64'h6C62616972617620;
710
64'hFFFFFFFFFFFFF920:   romout <= 64'h5458454E000A0D65;
711
64'hFFFFFFFFFFFFF928:   romout <= 64'h74756F6874697720;
712
64'hFFFFFFFFFFFFF930:   romout <= 64'h4E000A0D524F4620;
713
64'hFFFFFFFFFFFFF938:   romout <= 64'h6570786520545845;
714
64'hFFFFFFFFFFFFF940:   romout <= 64'h206120676E697463;
715
64'hFFFFFFFFFFFFF948:   romout <= 64'h2064656E69666564;
716
64'hFFFFFFFFFFFFF950:   romout <= 64'h656C626169726176;
717
64'hFFFFFFFFFFFFF958:   romout <= 64'h2F4F544F47000A0D;
718
64'hFFFFFFFFFFFFF960:   romout <= 64'h6162204255534F47;
719
64'hFFFFFFFFFFFFF968:   romout <= 64'h6E20656E696C2064;
720
64'hFFFFFFFFFFFFF970:   romout <= 64'h000A0D7265626D75;
721
64'hFFFFFFFFFFFFF978:   romout <= 64'h77204E5255544552;
722
64'hFFFFFFFFFFFFF980:   romout <= 64'h472074756F687469;
723
64'hFFFFFFFFFFFFF988:   romout <= 64'h50000A0D4255534F;
724
64'hFFFFFFFFFFFFF990:   romout <= 64'h69206D6172676F72;
725
64'hFFFFFFFFFFFFF998:   romout <= 64'h6962206F6F742073;
726
64'hFFFFFFFFFFFFF9A0:   romout <= 64'h72747845000A0D67;
727
64'hFFFFFFFFFFFFF9A8:   romout <= 64'h6361726168632061;
728
64'hFFFFFFFFFFFFF9B0:   romout <= 64'h206E6F2073726574;
729
64'hFFFFFFFFFFFFF9B8:   romout <= 64'h6E676920656E696C;
730
64'hFFFFFFFFFFFFF9C0:   romout <= 64'h0D000A0D6465726F;
731
64'hFFFFFFFFFFFFF9C8:   romout <= 64'h0D000A0A0D00520A;
732
64'hFFFFFFFFFFFFF9D0:   romout <= 64'h0048000A0D004F0A;
733
64'hFFFFFFFFFFFFF9D8:   romout <= 64'h000A0D0057000A0D;
734
64'hFFFFFFFFFFFFF9E0:   romout <= 64'hFFFFFF000A0D0053;
735
64'hFFFFFFFFFFFFF9E8:   romout <= 64'hFFFFFFFFFFFFFFFF;
736
64'hFFFFFFFFFFFFF9F0:   romout <= 64'hAAAB541000800009;
737
64'hFFFFFFFFFFFFF9F8:   romout <= 64'h05802AA5555F5554;
738
64'hFFFFFFFFFFFFFA00:   romout <= 64'h0000019A02000000;
739
64'hFFFFFFFFFFFFFA08:   romout <= 64'h0104430000646810;
740
64'hFFFFFFFFFFFFFA10:   romout <= 64'h000022F8C00000A9;
741
64'hFFFFFFFFFFFFFA18:   romout <= 64'h042060000000A840;
742
64'hFFFFFFFFFFFFFA20:   romout <= 64'h800026F8C1FFFF00;
743
64'hFFFFFFFFFFFFFA28:   romout <= 64'h0100080000904802;
744
64'hFFFFFFFFFFFFFA30:   romout <= 64'hA955551A04000000;
745
64'hFFFFFFFFFFFFFA38:   romout <= 64'h2F8C00001091021A;
746
64'hFFFFFFFFFFFFFA40:   romout <= 64'h0000002210000008;
747
64'hFFFFFFFFFFFFFA48:   romout <= 64'h2F8C1FFFF801081C;
748
64'hFFFFFFFFFFFFFA50:   romout <= 64'h000026FA14000329;
749
64'hFFFFFFFFFFFFFA58:   romout <= 64'h3AAAAD5552A04002;
750
64'hFFFFFFFFFFFFFA60:   romout <= 64'h000000580355AAAA;
751
64'hFFFFFFFFFFFFFA68:   romout <= 64'h11A0400000066808;
752
64'hFFFFFFFFFFFFFA70:   romout <= 64'h0003241044300006;
753
64'hFFFFFFFFFFFFFA78:   romout <= 64'h02210000008BE300;
754
64'hFFFFFFFFFFFFFA80:   romout <= 64'hFFFC804207000000;
755
64'hFFFFFFFFFFFFFA88:   romout <= 64'h01200B00009BE307;
756
64'hFFFFFFFFFFFFFA90:   romout <= 64'h0000001000800009;
757
64'hFFFFFFFFFFFFFA98:   romout <= 64'h0408755AAAA46810;
758
64'hFFFFFFFFFFFFFAA0:   romout <= 64'h000022F8C00000A9;
759
64'hFFFFFFFFFFFFFAA8:   romout <= 64'h0420700000008840;
760
64'hFFFFFFFFFFFFFAB0:   romout <= 64'h000222F8C1FFFF20;
761
64'hFFFFFFFFFFFFFAB8:   romout <= 64'h01216800014BE858;
762
64'hFFFFFFFFFFFFFAC0:   romout <= 64'h000052FA14000048;
763
64'hFFFFFFFFFFFFFAC8:   romout <= 64'h1981000040004852;
764
64'hFFFFFFFFFFFFFAD0:   romout <= 64'h000020DFBE000000;
765
64'hFFFFFFFFFFFFFAD8:   romout <= 64'h19F820000000FEF0;
766
64'hFFFFFFFFFFFFFAE0:   romout <= 64'h8000060803DC0FF0;
767
64'hFFFFFFFFFFFFFAE8:   romout <= 64'h2C840180002B01B4;
768
64'hFFFFFFFFFFFFFAF0:   romout <= 64'h0002A8C7FFFFFAB9;
769
64'hFFFFFFFFFFFFFAF8:   romout <= 64'h2C84014000FBE000;
770
64'hFFFFFFFFFFFFFB00:   romout <= 64'h000000C7FFFFFA6D;
771
64'hFFFFFFFFFFFFFB08:   romout <= 64'h02FBC00000847E08;
772
64'hFFFFFFFFFFFFFB10:   romout <= 64'h0000800000000020;
773
64'hFFFFFFFFFFFFFB18:   romout <= 64'h19803FF000000000;
774
64'hFFFFFFFFFFFFFB20:   romout <= 64'h0000D19805FF0008;
775
64'hFFFFFFFFFFFFFB28:   romout <= 64'h2F84000002902008;
776
64'hFFFFFFFFFFFFFB30:   romout <= 64'h0010A00802000228;
777
64'hFFFFFFFFFFFFFB38:   romout <= 64'h008800005A902010;
778
64'hFFFFFFFFFFFFFB40:   romout <= 64'h40000C1884680001;
779
64'hFFFFFFFFFFFFFB48:   romout <= 64'h1184400000004110;
780
64'hFFFFFFFFFFFFFB50:   romout <= 64'h0014A45084000000;
781
64'hFFFFFFFFFFFFFB58:   romout <= 64'h1184400000802200;
782
64'hFFFFFFFFFFFFFB60:   romout <= 64'h0016A45084000000;
783
64'hFFFFFFFFFFFFFB68:   romout <= 64'h0080000003402200;
784
64'hFFFFFFFFFFFFFB70:   romout <= 64'hFC00000800000035;
785
64'hFFFFFFFFFFFFFB78:   romout <= 64'h11805FF00084600F;
786
64'hFFFFFFFFFFFFFB80:   romout <= 64'h0000000000000020;
787
64'hFFFFFFFFFFFFFB88:   romout <= 64'h37800000000DE000;
788
64'hFFFFFFFFFFFFFFB0:   romout <= 64'h000000CFFFFFFEC6;
789 21 robfinch
64'hFFFFFFFFFFFFFFB8:   romout <= 64'h37800000000DE000;
790 25 robfinch
64'hFFFFFFFFFFFFFFC0:   romout <= 64'h000000CFFFFFFEC6;
791 21 robfinch
64'hFFFFFFFFFFFFFFC8:   romout <= 64'h37800000000DE000;
792 25 robfinch
64'hFFFFFFFFFFFFFFD0:   romout <= 64'h000000CFFFFFFEB5;
793 21 robfinch
64'hFFFFFFFFFFFFFFD8:   romout <= 64'h37800000000DE000;
794 25 robfinch
64'hFFFFFFFFFFFFFFE0:   romout <= 64'h000000CFFFFFFEC5;
795 21 robfinch
64'hFFFFFFFFFFFFFFE8:   romout <= 64'h37800000000DE000;
796 25 robfinch
64'hFFFFFFFFFFFFFFF0:   romout <= 64'h000000CFFFFFFA00;
797 21 robfinch
64'hFFFFFFFFFFFFFFF8:   romout <= 64'h37800000000DE000;
798
default:        romout <= 64'd0;
799 12 robfinch
endcase
800 25 robfinch
assign sys_dbi = br_dato|keybdout|stk_dato|scr_dato| {4{tc_dato}} | {4{pic_dato}};
801 3 robfinch
 
802
 
803 14 robfinch
Raptor64sc u1
804 3 robfinch
(
805
        .rst_i(rst),
806
        .clk_i(clk),
807 25 robfinch
        .nmi_i(cpu_nmi),
808
        .irq_i(cpu_irq),
809 3 robfinch
        .bte_o(),
810
        .cti_o(),
811
        .cyc_o(sys_cyc),
812
        .stb_o(sys_stb),
813
        .ack_i(sys_ack),
814
        .we_o(sys_we),
815
        .sel_o(sys_sel),
816
        .adr_o(sys_adr),
817
        .dat_i(sys_dbi),
818
        .dat_o(sys_dbo),
819 6 robfinch
 
820 3 robfinch
        .sys_adv(1'b0),
821
        .sys_adr(59'd0)
822
);
823
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.