OpenCores
URL https://opencores.org/ocsvn/raptor64/raptor64/trunk

Subversion Repositories raptor64

[/] [raptor64/] [trunk/] [rtl/] [verilog/] [Raptor64mc_tb.v] - Blame information for rev 14

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 robfinch
module Raptor64mc_tb();
2
parameter IDLE = 8'd1;
3
parameter DOCMD = 8'd2;
4
 
5
reg clk;
6
reg rst;
7
reg nmi;
8
wire sys_cyc;
9
wire sys_stb;
10
wire sys_we;
11
wire [7:0] sys_sel;
12
wire [31:0] sys_adr;
13
wire [31:0] sys_dbo;
14
wire [31:0] sys_dbi;
15
wire sys_ack;
16
wire cmd_en;
17
wire [2:0] cmd_instr;
18
wire [5:0] cmd_bl;
19
wire [29:0] cmd_byte_addr;
20
reg cmd_full;
21
reg [5:0] tb_cmd_bl;
22
reg [2:0] tb_cmd_instr;
23
reg [29:0] tb_cmd_byte_addr;
24
wire rd_en;
25
reg rd_empty;
26
reg [31:0] rd_data;
27
reg [7:0] cnt;
28
wire wr_en;
29
wire [31:0] wr_data;
30
wire wr_empty = 1'b1;
31
wire wr_full;
32
reg [31:0] iromout;
33
 
34
assign sys_ack = sys_stb;
35
 
36
initial begin
37
        clk = 1;
38
        rst = 0;
39
        nmi = 0;
40
        #100 rst = 1;
41
        #100 rst = 0;
42
        #1300 nmi = 1;
43
        #100 nmi = 0;
44
end
45
 
46
always #10 clk = ~clk;  //  50 MHz
47
 
48
always @(sys_adr)
49
case(sys_adr | 64'hFFFF_FFFF_FFFF_0000)
50
64'hFFFFFFFFFFFFF000:   iromout <= 32'h020013FD;
51
64'hFFFFFFFFFFFFF004:   iromout <= 32'h0010AC50;
52
64'hFFFFFFFFFFFFF008:   iromout <= 32'h01814010;
53
64'hFFFFFFFFFFFFF00C:   iromout <= 32'h01044500;
54
64'hFFFFFFFFFFFFF010:   iromout <= 32'hFFFFFFFF;
55
64'hFFFFFFFFFFFFF014:   iromout <= 32'h400003FF;
56
64'hFFFFFFFFFFFFF018:   iromout <= 32'h0321400F;
57
64'hFFFFFFFFFFFFF01C:   iromout <= 32'h05004000;
58
64'hFFFFFFFFFFFFF020:   iromout <= 32'h0600064C;
59
64'hFFFFFFFFFFFFF024:   iromout <= 32'h00000050;
60
64'hFFFFFFFFFFFFF028:   iromout <= 32'h00262110;
61
64'hFFFFFFFFFFFFF02C:   iromout <= 32'h02842000;
62
64'hFFFFFFFFFFFFF030:   iromout <= 32'hC6000001;
63
64'hFFFFFFFFFFFFF034:   iromout <= 32'hFFFEA430;
64
64'hFFFFFFFFFFFFF038:   iromout <= 32'hC18BE307;
65
64'hFFFFFFFFFFFFF03C:   iromout <= 32'h0C7FFFFF;
66
64'hFFFFFFFFFFFFF040:   iromout <= 32'h00000000;
67
64'hFFFFFFFFFFFFF044:   iromout <= 32'h00000378;
68
64'hFFFFFFFFFFFFF048:   iromout <= 32'h000DE000;
69
64'hFFFFFFFFFFFFF04C:   iromout <= 32'h37800000;
70
64'hFFFFFFFFFFFFF060:   iromout <= 32'hFFFFFFFF;
71
64'hFFFFFFFFFFFFF064:   iromout <= 32'h700003FF;
72
64'hFFFFFFFFFFFFF068:   iromout <= 32'h0001400F;
73
64'hFFFFFFFFFFFFF06C:   iromout <= 32'h10044000;
74
64'hFFFFFFFFFFFFF070:   iromout <= 32'h81FFFFC1;
75
64'hFFFFFFFFFFFFF074:   iromout <= 32'h000006F8;
76
64'hFFFFFFFFFFFFF078:   iromout <= 32'h00040100;
77
64'hFFFFFFFFFFFFF07C:   iromout <= 32'h0D83E000;
78
64'hFFFFFFFFFFFFF080:   iromout <= 32'h00800009;
79
64'hFFFFFFFFFFFFF084:   iromout <= 32'hAAAB5410;
80
64'hFFFFFFFFFFFFF088:   iromout <= 32'h555F5554;
81
64'hFFFFFFFFFFFFF08C:   iromout <= 32'h05002AA5;
82
64'hFFFFFFFFFFFFF090:   iromout <= 32'h02000000;
83
64'hFFFFFFFFFFFFF094:   iromout <= 32'h0000019A;
84
64'hFFFFFFFFFFFFF098:   iromout <= 32'h00646810;
85
64'hFFFFFFFFFFFFF09C:   iromout <= 32'h01044300;
86
64'hFFFFFFFFFFFFF0A0:   iromout <= 32'hC00000A9;
87
64'hFFFFFFFFFFFFF0A4:   iromout <= 32'h000022F8;
88
64'hFFFFFFFFFFFFF0A8:   iromout <= 32'h0000A840;
89
64'hFFFFFFFFFFFFF0AC:   iromout <= 32'h03A06000;
90
64'hFFFFFFFFFFFFF0B0:   iromout <= 32'hC1FFFF00;
91
64'hFFFFFFFFFFFFF0B4:   iromout <= 32'h800026F8;
92
64'hFFFFFFFFFFFFF0B8:   iromout <= 32'h00904802;
93
64'hFFFFFFFFFFFFF0BC:   iromout <= 32'h01000800;
94
64'hFFFFFFFFFFFFF0C0:   iromout <= 32'h04000000;
95
64'hFFFFFFFFFFFFF0C4:   iromout <= 32'hA955551A;
96
64'hFFFFFFFFFFFFF0C8:   iromout <= 32'h1090E21A;
97
64'hFFFFFFFFFFFFF0CC:   iromout <= 32'h2F8C0000;
98
64'hFFFFFFFFFFFFF0D0:   iromout <= 32'h10000008;
99
64'hFFFFFFFFFFFFF0D4:   iromout <= 32'h00000022;
100
64'hFFFFFFFFFFFFF0D8:   iromout <= 32'hF800E81C;
101
64'hFFFFFFFFFFFFF0DC:   iromout <= 32'h2F8C1FFF;
102
64'hFFFFFFFFFFFFF0E0:   iromout <= 32'h14000329;
103
64'hFFFFFFFFFFFFF0E4:   iromout <= 32'h000026FA;
104
64'hFFFFFFFFFFFFF0E8:   iromout <= 32'h52A04002;
105
64'hFFFFFFFFFFFFF0EC:   iromout <= 32'h3AAAAD55;
106
64'hFFFFFFFFFFFFF0F0:   iromout <= 32'h0355AAAA;
107
64'hFFFFFFFFFFFFF0F4:   iromout <= 32'h00000050;
108
64'hFFFFFFFFFFFFF0F8:   iromout <= 32'h00066808;
109
64'hFFFFFFFFFFFFF0FC:   iromout <= 32'h11A04000;
110
64'hFFFFFFFFFFFFF100:   iromout <= 32'h44300006;
111
64'hFFFFFFFFFFFFF104:   iromout <= 32'h00032410;
112
64'hFFFFFFFFFFFFF108:   iromout <= 32'h008BE300;
113
64'hFFFFFFFFFFFFF10C:   iromout <= 32'h02210000;
114
64'hFFFFFFFFFFFFF110:   iromout <= 32'h07000000;
115
64'hFFFFFFFFFFFFF114:   iromout <= 32'hFFFC803A;
116
64'hFFFFFFFFFFFFF118:   iromout <= 32'h009BE307;
117
64'hFFFFFFFFFFFFF11C:   iromout <= 32'h01200B00;
118
64'hFFFFFFFFFFFFF120:   iromout <= 32'h00800009;
119
64'hFFFFFFFFFFFFF124:   iromout <= 32'h00000010;
120
64'hFFFFFFFFFFFFF128:   iromout <= 32'hAAA46810;
121
64'hFFFFFFFFFFFFF12C:   iromout <= 32'h0388755A;
122
64'hFFFFFFFFFFFFF130:   iromout <= 32'hC00000A9;
123
64'hFFFFFFFFFFFFF134:   iromout <= 32'h000022F8;
124
64'hFFFFFFFFFFFFF138:   iromout <= 32'h00008840;
125
64'hFFFFFFFFFFFFF13C:   iromout <= 32'h03A07000;
126
64'hFFFFFFFFFFFFF140:   iromout <= 32'hC1FFFF20;
127
64'hFFFFFFFFFFFFF144:   iromout <= 32'h000222F8;
128
64'hFFFFFFFFFFFFF148:   iromout <= 32'h014BE858;
129
64'hFFFFFFFFFFFFF14C:   iromout <= 32'h01216800;
130
64'hFFFFFFFFFFFFF150:   iromout <= 32'h14000048;
131
64'hFFFFFFFFFFFFF154:   iromout <= 32'h000052FA;
132
64'hFFFFFFFFFFFFF158:   iromout <= 32'h40004852;
133
64'hFFFFFFFFFFFFF15C:   iromout <= 32'h19810000;
134
64'hFFFFFFFFFFFFF160:   iromout <= 32'h3E000000;
135
64'hFFFFFFFFFFFFF164:   iromout <= 32'h000080D8;
136
64'hFFFFFFFFFFFFF168:   iromout <= 32'h03200000;
137
64'hFFFFFFFFFFFFF16C:   iromout <= 32'h00802000;
138
64'hFFFFFFFFFFFFF170:   iromout <= 32'h41FFFFC9;
139
64'hFFFFFFFFFFFFF174:   iromout <= 32'hFC0002F8;
140
64'hFFFFFFFFFFFFF178:   iromout <= 32'h0086600F;
141
64'hFFFFFFFFFFFFF17C:   iromout <= 32'h19805FF0;
142
64'hFFFFFFFFFFFFF180:   iromout <= 32'h02000228;
143
64'hFFFFFFFFFFFFF184:   iromout <= 32'h0010A008;
144
64'hFFFFFFFFFFFFF188:   iromout <= 32'h5A902010;
145
64'hFFFFFFFFFFFFF18C:   iromout <= 32'h00880000;
146
64'hFFFFFFFFFFFFF190:   iromout <= 32'h84680001;
147
64'hFFFFFFFFFFFFF194:   iromout <= 32'h40000C18;
148
64'hFFFFFFFFFFFFF198:   iromout <= 32'h00004110;
149
64'hFFFFFFFFFFFFF19C:   iromout <= 32'h11844000;
150
64'hFFFFFFFFFFFFF1A0:   iromout <= 32'h80000529;
151
64'hFFFFFFFFFFFFF1A4:   iromout <= 32'h0000D008;
152
64'hFFFFFFFFFFFFF1A8:   iromout <= 32'h03302000;
153
64'hFFFFFFFFFFFFF1AC:   iromout <= 32'h00800000;
154
64'hFFFFFFFFFFFFF1B0:   iromout <= 32'h03FF0000;
155
64'hFFFFFFFFFFFFF1B4:   iromout <= 32'hFC002118;
156
64'hFFFFFFFFFFFFF1B8:   iromout <= 32'h02046017;
157
64'hFFFFFFFFFFFFF1BC:   iromout <= 32'h00000000;
158
64'hFFFFFFFFFFFFFFB0:   iromout <= 32'hFFFFFC5A;
159
64'hFFFFFFFFFFFFFFB4:   iromout <= 32'h000000CF;
160
64'hFFFFFFFFFFFFFFB8:   iromout <= 32'h000DE000;
161
64'hFFFFFFFFFFFFFFBC:   iromout <= 32'h37800000;
162
64'hFFFFFFFFFFFFFFC0:   iromout <= 32'h00000000;
163
64'hFFFFFFFFFFFFFFC4:   iromout <= 32'h00000378;
164
64'hFFFFFFFFFFFFFFC8:   iromout <= 32'h000DE000;
165
64'hFFFFFFFFFFFFFFCC:   iromout <= 32'h37800000;
166
64'hFFFFFFFFFFFFFFD0:   iromout <= 32'h00000000;
167
64'hFFFFFFFFFFFFFFD4:   iromout <= 32'h00000378;
168
64'hFFFFFFFFFFFFFFD8:   iromout <= 32'h000DE000;
169
64'hFFFFFFFFFFFFFFDC:   iromout <= 32'h37800000;
170
64'hFFFFFFFFFFFFFFE0:   iromout <= 32'hFFFFFC59;
171
64'hFFFFFFFFFFFFFFE4:   iromout <= 32'h000000CF;
172
64'hFFFFFFFFFFFFFFE8:   iromout <= 32'h000DE000;
173
64'hFFFFFFFFFFFFFFEC:   iromout <= 32'h37800000;
174
64'hFFFFFFFFFFFFFFF0:   iromout <= 32'hFFFFFC00;
175
64'hFFFFFFFFFFFFFFF4:   iromout <= 32'h000000CF;
176
64'hFFFFFFFFFFFFFFF8:   iromout <= 32'h00000000;
177
64'hFFFFFFFFFFFFFFFC:   iromout <= 32'h00000000;
178
endcase
179
assign sys_dbi = iromout;
180
 
181
reg [7:0] state;
182
always @(posedge clk)
183
if (rst) begin
184
        state <= IDLE;
185
        cmd_full <= 1'b0;
186
        rd_empty <= 1'b1;
187
end
188
else begin
189
case(state)
190
IDLE:
191
        if (cmd_en) begin
192
                tb_cmd_instr <= cmd_instr;
193
                tb_cmd_bl <= cmd_bl;
194
                tb_cmd_byte_addr <= cmd_byte_addr;
195
                cmd_full <= 1'b1;
196
                rd_empty <= 1'b1;
197
                cnt <= 8'd0;
198
                state <= DOCMD;
199
        end
200
DOCMD:
201
        case(tb_cmd_instr)
202
        3'b000:
203
                begin
204
                        cmd_full <= 1'b0;
205
                        state <= IDLE;
206
                end
207
        2'b001:
208
                begin
209
                        cmd_full <= 1'b0;
210
                        state <= IDLE;
211
                end
212
        endcase
213
default:        state <= IDLE;
214
endcase
215
        if (rd_en) begin
216
                if (cnt>=3) begin
217
                        rd_empty <= 1'b0;
218
                case(tb_cmd_byte_addr | 64'hFFFF_FFFF_FFFF_0000)
219
64'hFFFFFFFFFFFFF000:   rd_data <= 32'h020013FD;
220
64'hFFFFFFFFFFFFF004:   rd_data <= 32'h00006050;
221
64'hFFFFFFFFFFFFF008:   rd_data <= 32'h01802120;
222
64'hFFFFFFFFFFFFF00C:   rd_data <= 32'h00848000;
223
64'hFFFFFFFFFFFFF010:   rd_data <= 32'h0400042B;
224
64'hFFFFFFFFFFFFF014:   rd_data <= 32'h40006050;
225
64'hFFFFFFFFFFFFF018:   rd_data <= 32'hFFF04111;
226
64'hFFFFFFFFFFFFF01C:   rd_data <= 32'h3FFFFFFF;
227
64'hFFFFFFFFFFFFF020:   rd_data <= 32'h03D00000;
228
64'hFFFFFFFFFFFFF024:   rd_data <= 32'h0000C850;
229
64'hFFFFFFFFFFFFF028:   rd_data <= 32'h64C14010;
230
64'hFFFFFFFFFFFFF02C:   rd_data <= 32'h05006000;
231
64'hFFFFFFFFFFFFF030:   rd_data <= 32'h44000000;
232
64'hFFFFFFFFFFFFF034:   rd_data <= 32'h00000988;
233
64'hFFFFFFFFFFFFF038:   rd_data <= 32'h0010A108;
234
64'hFFFFFFFFFFFFF03C:   rd_data <= 32'h030C6000;
235
64'hFFFFFFFFFFFFF040:   rd_data <= 32'hC1FFFF81;
236
64'hFFFFFFFFFFFFF044:   rd_data <= 32'hFFF062F8;
237
64'hFFFFFFFFFFFFF048:   rd_data <= 32'h00031FFF;
238
64'hFFFFFFFFFFFFF04C:   rd_data <= 32'h37800000;
239
64'hFFFFFFFFFFFFF050:   rd_data <= 32'h00000000;
240
64'hFFFFFFFFFFFFF054:   rd_data <= 32'h00000378;
241
64'hFFFFFFFFFFFFF058:   rd_data <= 32'h000DE000;
242
64'hFFFFFFFFFFFFF05C:   rd_data <= 32'h37800000;
243
64'hFFFFFFFFFFFFF060:   rd_data <= 32'hFFFFFFFF;
244
64'hFFFFFFFFFFFFF064:   rd_data <= 32'h700003FF;
245
64'hFFFFFFFFFFFFF068:   rd_data <= 32'h0001400F;
246
64'hFFFFFFFFFFFFF06C:   rd_data <= 32'h10044000;
247
64'hFFFFFFFFFFFFF070:   rd_data <= 32'h81FFFFC5;
248
64'hFFFFFFFFFFFFF074:   rd_data <= 32'h000006F8;
249
64'hFFFFFFFFFFFFF078:   rd_data <= 32'h00040100;
250
64'hFFFFFFFFFFFFF07C:   rd_data <= 32'h0D83E000;
251
64'hFFFFFFFFFFFFF080:   rd_data <= 32'h00800009;
252
64'hFFFFFFFFFFFFF084:   rd_data <= 32'hAAAB5410;
253
64'hFFFFFFFFFFFFF088:   rd_data <= 32'h555F5554;
254
64'hFFFFFFFFFFFFF08C:   rd_data <= 32'h05002AA5;
255
64'hFFFFFFFFFFFFF090:   rd_data <= 32'h02000000;
256
64'hFFFFFFFFFFFFF094:   rd_data <= 32'h0000019A;
257
64'hFFFFFFFFFFFFF098:   rd_data <= 32'h00646810;
258
64'hFFFFFFFFFFFFF09C:   rd_data <= 32'h01044300;
259
64'hFFFFFFFFFFFFF0A0:   rd_data <= 32'hC00000A1;
260
64'hFFFFFFFFFFFFF0A4:   rd_data <= 32'h000022F8;
261
64'hFFFFFFFFFFFFF0A8:   rd_data <= 32'h0000A840;
262
64'hFFFFFFFFFFFFF0AC:   rd_data <= 32'h03A06000;
263
64'hFFFFFFFFFFFFF0B0:   rd_data <= 32'hC1FFFF02;
264
64'hFFFFFFFFFFFFF0B4:   rd_data <= 32'h800026F8;
265
64'hFFFFFFFFFFFFF0B8:   rd_data <= 32'h00904802;
266
64'hFFFFFFFFFFFFF0BC:   rd_data <= 32'h01000800;
267
64'hFFFFFFFFFFFFF0C0:   rd_data <= 32'h04000000;
268
64'hFFFFFFFFFFFFF0C4:   rd_data <= 32'hA955551A;
269
64'hFFFFFFFFFFFFF0C8:   rd_data <= 32'h1010E21A;
270
64'hFFFFFFFFFFFFF0CC:   rd_data <= 32'h2F8C0000;
271
64'hFFFFFFFFFFFFF0D0:   rd_data <= 32'h10000008;
272
64'hFFFFFFFFFFFFF0D4:   rd_data <= 32'h00000022;
273
64'hFFFFFFFFFFFFF0D8:   rd_data <= 32'hF820E81C;
274
64'hFFFFFFFFFFFFF0DC:   rd_data <= 32'h2F8C1FFF;
275
64'hFFFFFFFFFFFFF0E0:   rd_data <= 32'h14000321;
276
64'hFFFFFFFFFFFFF0E4:   rd_data <= 32'h000026FA;
277
64'hFFFFFFFFFFFFF0E8:   rd_data <= 32'h52A04002;
278
64'hFFFFFFFFFFFFF0EC:   rd_data <= 32'h3AAAAD55;
279
64'hFFFFFFFFFFFFF0F0:   rd_data <= 32'h0355AAAA;
280
64'hFFFFFFFFFFFFF0F4:   rd_data <= 32'h00000050;
281
64'hFFFFFFFFFFFFF0F8:   rd_data <= 32'h00066808;
282
64'hFFFFFFFFFFFFF0FC:   rd_data <= 32'h11A04000;
283
64'hFFFFFFFFFFFFF100:   rd_data <= 32'h44300006;
284
64'hFFFFFFFFFFFFF104:   rd_data <= 32'h00030410;
285
64'hFFFFFFFFFFFFF108:   rd_data <= 32'h008BE300;
286
64'hFFFFFFFFFFFFF10C:   rd_data <= 32'h02210000;
287
64'hFFFFFFFFFFFFF110:   rd_data <= 32'h07000000;
288
64'hFFFFFFFFFFFFF114:   rd_data <= 32'hFFFC883A;
289
64'hFFFFFFFFFFFFF118:   rd_data <= 32'h009BE307;
290
64'hFFFFFFFFFFFFF11C:   rd_data <= 32'h01200B00;
291
64'hFFFFFFFFFFFFF120:   rd_data <= 32'h00800009;
292
64'hFFFFFFFFFFFFF124:   rd_data <= 32'h00000010;
293
64'hFFFFFFFFFFFFF128:   rd_data <= 32'hAAA46810;
294
64'hFFFFFFFFFFFFF12C:   rd_data <= 32'h0388755A;
295
64'hFFFFFFFFFFFFF130:   rd_data <= 32'hC00000A1;
296
64'hFFFFFFFFFFFFF134:   rd_data <= 32'h000022F8;
297
64'hFFFFFFFFFFFFF138:   rd_data <= 32'h00008840;
298
64'hFFFFFFFFFFFFF13C:   rd_data <= 32'h03A07000;
299
64'hFFFFFFFFFFFFF140:   rd_data <= 32'hC1FFFF22;
300
64'hFFFFFFFFFFFFF144:   rd_data <= 32'h000202F8;
301
64'hFFFFFFFFFFFFF148:   rd_data <= 32'h014BE858;
302
64'hFFFFFFFFFFFFF14C:   rd_data <= 32'h01216800;
303
64'hFFFFFFFFFFFFF150:   rd_data <= 32'h14000040;
304
64'hFFFFFFFFFFFFF154:   rd_data <= 32'h000052FA;
305
64'hFFFFFFFFFFFFF158:   rd_data <= 32'h40004852;
306
64'hFFFFFFFFFFFFF15C:   rd_data <= 32'h19810000;
307
64'hFFFFFFFFFFFFF160:   rd_data <= 32'h3E000000;
308
64'hFFFFFFFFFFFFF164:   rd_data <= 32'h0000C8D8;
309
64'hFFFFFFFFFFFFF168:   rd_data <= 32'h02102008;
310
64'hFFFFFFFFFFFFF16C:   rd_data <= 32'h2F840000;
311
64'hFFFFFFFFFFFFF170:   rd_data <= 32'h03FF0000;
312
64'hFFFFFFFFFFFFF174:   rd_data <= 32'hFC002198;
313
64'hFFFFFFFFFFFFF178:   rd_data <= 32'h22866017;
314
64'hFFFFFFFFFFFFF17C:   rd_data <= 32'h00802000;
315
64'hFFFFFFFFFFFFF180:   rd_data <= 32'h04000428;
316
64'hFFFFFFFFFFFFF184:   rd_data <= 32'h0016A408;
317
64'hFFFFFFFFFFFFF188:   rd_data <= 32'h00102200;
318
64'hFFFFFFFFFFFFF18C:   rd_data <= 32'h01884680;
319
64'hFFFFFFFFFFFFF190:   rd_data <= 32'h44100003;
320
64'hFFFFFFFFFFFFF194:   rd_data <= 32'h00000010;
321
64'hFFFFFFFFFFFFF198:   rd_data <= 32'h52946110;
322
64'hFFFFFFFFFFFFF19C:   rd_data <= 32'h00880000;
323
64'hFFFFFFFFFFFFF1A0:   rd_data <= 32'h00000034;
324
64'hFFFFFFFFFFFFF1A4:   rd_data <= 32'h0000CC08;
325
64'hFFFFFFFFFFFFF1A8:   rd_data <= 32'h00002000;
326
64'hFFFFFFFFFFFFF1AC:   rd_data <= 32'h11803FF0;
327
64'hFFFFFFFFFFFFF1B0:   rd_data <= 32'h05FF0008;
328
64'hFFFFFFFFFFFFF1B4:   rd_data <= 32'h00008118;
329
64'hFFFFFFFFFFFFF1B8:   rd_data <= 32'h00000000;
330
64'hFFFFFFFFFFFFF1BC:   rd_data <= 32'h37800000;
331
64'hFFFFFFFFFFFFFFB0:   rd_data <= 32'hFFFFFC59;
332
64'hFFFFFFFFFFFFFFB4:   rd_data <= 32'h000000CF;
333
64'hFFFFFFFFFFFFFFB8:   rd_data <= 32'h000DE000;
334
64'hFFFFFFFFFFFFFFBC:   rd_data <= 32'h37800000;
335
64'hFFFFFFFFFFFFFFC0:   rd_data <= 32'h00000000;
336
64'hFFFFFFFFFFFFFFC4:   rd_data <= 32'h00000378;
337
64'hFFFFFFFFFFFFFFC8:   rd_data <= 32'h000DE000;
338
64'hFFFFFFFFFFFFFFCC:   rd_data <= 32'h37800000;
339
64'hFFFFFFFFFFFFFFD0:   rd_data <= 32'h00000000;
340
64'hFFFFFFFFFFFFFFD4:   rd_data <= 32'h00000378;
341
64'hFFFFFFFFFFFFFFD8:   rd_data <= 32'h000DE000;
342
64'hFFFFFFFFFFFFFFDC:   rd_data <= 32'h37800000;
343
64'hFFFFFFFFFFFFFFE0:   rd_data <= 32'h00000000;
344
64'hFFFFFFFFFFFFFFE4:   rd_data <= 32'h00000378;
345
64'hFFFFFFFFFFFFFFE8:   rd_data <= 32'h000DE000;
346
64'hFFFFFFFFFFFFFFEC:   rd_data <= 32'h37800000;
347
64'hFFFFFFFFFFFFFFF0:   rd_data <= 32'hFFFFFC00;
348
64'hFFFFFFFFFFFFFFF4:   rd_data <= 32'h000000CF;
349
64'hFFFFFFFFFFFFFFF8:   rd_data <= 32'h00000000;
350
64'hFFFFFFFFFFFFFFFC:   rd_data <= 32'h00000000;
351
 
352
//              30'h0:  rd_data <= 32'h00000000;
353
//              30'h4:  rd_data <= 32'h00002378;
354
//              30'h8:  rd_data <= 32'h00030000;
355
//              30'hC:  rd_data <= 32'h37800000;
356
//              30'h10: rd_data <= 32'h00000000;
357
//              30'h14: rd_data <= 32'h00000378;
358
//              30'h18: rd_data <= 32'h000DE000;
359
//              30'h1C: rd_data <= 32'h37800000;
360
//              30'h20: rd_data <= 32'hFFFFFFFF;
361
//              30'h24: rd_data <= 32'h700003FF;
362
//              30'h28: rd_data <= 32'h0001200F;
363
//              30'h2C: rd_data <= 32'h0D83E000;
364
                endcase
365
                tb_cmd_byte_addr <= tb_cmd_byte_addr + 30'd4;
366
                tb_cmd_bl <= tb_cmd_bl - 6'd1;
367
                if (tb_cmd_bl==6'h0) rd_empty <= 1'b1;
368
                end
369
                else
370
                        cnt <= cnt + 1;
371
        end
372
end
373
 
374
Raptor64mc u1
375
(
376
        .rst_i(rst),
377
        .clk_i(clk),
378
        .nmi_i(nmi),
379
        .irq_i(1'b0),
380
        .bte_o(),
381
        .cti_o(),
382
        .cyc_o(sys_cyc),
383
        .stb_o(sys_stb),
384
        .ack_i(sys_ack),
385
        .we_o(sys_we),
386
        .sel_o(sys_sel),
387
        .adr_o(sys_adr),
388
        .dat_i(sys_dbi),
389
        .dat_o(sys_dbo),
390
 
391
        .cmd_en(cmd_en),
392
        .cmd_instr(cmd_instr),
393
        .cmd_bl(cmd_bl),
394
        .cmd_byte_addr(cmd_byte_addr),
395
        .cmd_full(cmd_full),
396
 
397
        .rd_en(rd_en),
398
        .rd_data(rd_data),
399
        .rd_empty(rd_empty),
400
 
401
        .wr_en(wr_en),
402
        .wr_data(wr_data),
403
        .wr_full(1'b0),
404
        .wr_empty(1'b1),
405
 
406
        .sys_adv(1'b0),
407
        .sys_adr(59'd0)
408
);
409
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.