OpenCores
URL https://opencores.org/ocsvn/raptor64/raptor64/trunk

Subversion Repositories raptor64

[/] [raptor64/] [trunk/] [rtl/] [verilog/] [memory/] [internal/] [syncRam2kx8_1rw1r.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 robfinch
// ============================================================================
2
// 2006-2012 Robert Finch
3
// All Rights Reserved.
4
// robfinch@<remove>@opencores.org
5
//
6
//      syncRam2kx8_1rw1r.v
7
//
8
// This source file is free software: you can redistribute it and/or modify 
9
// it under the terms of the GNU Lesser General Public License as published 
10
// by the Free Software Foundation, either version 3 of the License, or     
11
// (at your option) any later version.                                      
12
//                                                                          
13
// This source file is distributed in the hope that it will be useful,      
14
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
15
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
16
// GNU General Public License for more details.                             
17
//                                                                          
18
// You should have received a copy of the GNU General Public License        
19
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
20
//                                                                          
21
// ============================================================================
22
//
23
`define SYNTHESIS
24
`define VENDOR_XILINX
25
`define SPARTAN3
26
 
27
module syncRam2kx8_1rw1r(
28
        input wrst,
29
        input wclk,
30
        input wce,
31
        input we,
32
        input [10:0] wadr,
33
        input [7:0] i,
34
        output [7:0] wo,
35
        input rrst,
36
        input rclk,
37
        input rce,
38
        input [10:0] radr,
39
        output [7:0] o
40
);
41
 
42
`ifdef SYNTHESIS
43
`ifdef VENDOR_XILINX
44
 
45
`ifdef SPARTAN3
46
        RAMB16_S9_S9 ram0(
47
                .CLKA(wclk), .ADDRA(wadr), .DIA(i), .DIPA(^i), .DOA(wo), .ENA(wce), .WEA(we), .SSRA(wrst),
48
                .CLKB(rclk), .ADDRB(radr), .DIB(8'hFF), .DIPB(1'b1), .DOB(o), .ENB(rce), .WEB(1'b0), .SSRB(rrst)  );
49
`endif
50
 
51
`ifdef SPARTAN2
52
        RAMB4_S2_S2 ram0(
53
                .CLKA(wclk), .ADDRA(wadr), .DIA(i[1:0]), .DOA(wo[1:0]), .ENA(wce), .WEA(we), .RSTA(wrst),
54
                .CLKB(rclk), .ADDRB(radr), .DIB(2'b11), .DOB(o[1:0]), .ENB(rce), .WEB(1'b0), .RSTB(rrst)  );
55
        RAMB4_S2_S2 ram1(
56
                .CLKA(wclk), .ADDRA(wadr), .DIA(i[3:2]), .DOA(wo[3:2]), .ENA(wce), .WEA(we), .RSTA(wrst),
57
                .CLKB(rclk), .ADDRB(radr), .DIB(2'b11), .DOB(o[3:2]), .ENB(rce), .WEB(1'b0), .RSTB(rrst)  );
58
        RAMB4_S2_S2 ram2(
59
                .CLKA(wclk), .ADDRA(wadr), .DIA(i[5:4]), .DOA(wo[5:4]), .ENA(wce), .WEA(we), .RSTA(wrst),
60
                .CLKB(rclk), .ADDRB(radr), .DIB(2'b11), .DOB(o[5:4]), .ENB(rce), .WEB(1'b0), .RSTB(rrst)  );
61
        RAMB4_S2_S2 ram3(
62
                .CLKA(wclk), .ADDRA(wadr), .DIA(i[7:6]), .DOA(wo[5:4]), .ENA(wce), .WEA(we), .RSTA(wrst),
63
                .CLKB(rclk), .ADDRB(radr), .DIB(2'b11), .DOB(o[5:4]), .ENB(rce), .WEB(1'b0), .RSTB(rrst)  );
64
`endif
65
 
66
`endif
67
 
68
`ifdef VENDOR_ALTERA
69
`endif
70
 
71
`else
72
 
73
        reg [7:0] mem [2047:0];
74
        reg [10:0] rradr;
75
        reg [10:0] rwadr;
76
 
77
        // register read addresses
78
        always @(posedge rclk)
79
                if (rce) rradr <= radr;
80
 
81
        assign o = mem[rradr];
82
 
83
        // write side
84
        always @(posedge wclk)
85
                if (wce) rwadr <= wadr;
86
 
87
        always @(posedge wclk)
88
                if (wce) mem[wadr] <= i;
89
 
90
        assign wo = mem[rwadr];
91
 
92
`endif
93
 
94
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.