OpenCores
URL https://opencores.org/ocsvn/raptor64/raptor64/trunk

Subversion Repositories raptor64

[/] [raptor64/] [trunk/] [rtl/] [verilog/] [memory/] [internal/] [syncRam512x32_1rw1r.v] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 robfinch
// ============================================================================
2
// (C) 2012 Robert Finch
3
// All Rights Reserved.
4
// robfinch@<remove>@opencores.org
5
//
6
//      syncRam512x32_1rw1r.v
7
//
8
// This source file is free software: you can redistribute it and/or modify 
9
// it under the terms of the GNU Lesser General Public License as published 
10
// by the Free Software Foundation, either version 3 of the License, or     
11
// (at your option) any later version.                                      
12
//                                                                          
13
// This source file is distributed in the hope that it will be useful,      
14
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
15
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
16
// GNU General Public License for more details.                             
17
//                                                                          
18
// You should have received a copy of the GNU General Public License        
19
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
20
//                                                                          
21
// ============================================================================
22
//
23
`define SYNTHESIS
24
`define VENDOR_XILINX
25
`define SPARTAN3
26
 
27
module syncRam512x32_1rw1r(
28
        input wrst,
29
        input wclk,
30
        input wce,
31
        input we,
32
        input [8:0] wadr,
33
        input [31:0] i,
34
        output [31:0] wo,
35
        input rrst,
36
        input rclk,
37
        input rce,
38
        input [8:0] radr,
39
        output [31:0] o
40
);
41
 
42
`ifdef SYNTHESIS
43
`ifdef VENDOR_XILINX
44
 
45
`ifdef SPARTAN3
46
        RAMB16_S36_S36 ram0(
47
                .CLKA(wclk), .ADDRA(wadr), .DIA(i), .DIPA({^i[31:24],^i[23:16],^i[15:8],^i[7:0]}), .DOA(wo), .ENA(wce), .WEA(we), .SSRA(wrst),
48
                .CLKB(rclk), .ADDRB(radr), .DIB(32'hFFFF_FFFF), .DIPB(4'b1111), .DOB(o), .ENB(rce), .WEB(1'b0), .SSRB(rrst)  );
49
`endif
50
 
51
`ifdef SPARTAN2
52
        RAMB4_S4_S4 ram0(
53
                .CLKA(wclk), .ADDRA(wadr), .DIA(i[3:0]), .DOA(wo[3:0]), .ENA(wce), .WEA(we), .RSTA(wrst),
54
                .CLKB(rclk), .ADDRB(radr), .DIB(4'hF), .DOB(o[3:0]), .ENB(rce), .WEB(1'b0), .RSTB(rrst)  );
55
        RAMB4_S4_S4 ram1(
56
                .CLKA(wclk), .ADDRA(wadr), .DIA(i[7:4]), .DOA(wo[7:4]), .ENA(wce), .WEA(we), .RSTA(wrst),
57
                .CLKB(rclk), .ADDRB(radr), .DIB(4'hF), .DOB(o[7:4]), .ENB(rce), .WEB(1'b0), .RSTB(rrst)  );
58
        RAMB4_S4_S4 ram2(
59
                .CLKA(wclk), .ADDRA(wadr), .DIA(i[11:8]), .DOA(wo[11:8]), .ENA(wce), .WEA(we), .RSTA(wrst),
60
                .CLKB(rclk), .ADDRB(radr), .DIB(4'hF), .DOB(o[11:8]), .ENB(rce), .WEB(1'b0), .RSTB(rrst)  );
61
        RAMB4_S4_S4 ram3(
62
                .CLKA(wclk), .ADDRA(wadr), .DIA(i[15:12]), .DOA(wo[15:12]), .ENA(wce), .WEA(we), .RSTA(wrst),
63
                .CLKB(rclk), .ADDRB(radr), .DIB(4'hF), .DOB(o[15:12]), .ENB(rce), .WEB(1'b0), .RSTB(rrst)  );
64
`endif
65
 
66
`endif
67
 
68
`ifdef VENDOR_ALTERA
69
 
70
        reg [31:0] mem [511:0];
71
        reg [8:0] rradr;
72
        reg [8:0] rwadr;
73
        reg rrrst;
74
        reg rwrst;
75
 
76
        // register read addresses
77
        always @(posedge rclk)
78
                if (rce) rradr <= radr;
79
        always @(posedge rclk)
80
                if (rce) rrrst <= rrst;
81
 
82
        assign o = rrrst ? 0 : mem[rradr];
83
 
84
        // write side
85
        always @(posedge wclk)
86
                if (wce) rwadr <= wadr;
87
        always @(posedge wclk)
88
                if (wce) rwrst <= wrst;
89
 
90
        always @(posedge wclk)
91
                if (wce) mem[wadr] <= i;
92
 
93
        assign wo = rwrst ? 0 : mem[rwadr];
94
 
95
`endif
96
 
97
`else
98
 
99
        reg [31:0] mem [511:0];
100
        reg [8:0] rradr;
101
        reg [8:0] rwadr;
102
        reg rrrst;
103
        reg rwrst;
104
 
105
        // register read addresses
106
        always @(posedge rclk)
107
                if (rce) rradr <= radr;
108
        always @(posedge rclk)
109
                if (rce) rrrst <= rrst;
110
 
111
        assign o = rrrst ? 0 : mem[rradr];
112
 
113
        // write side
114
        always @(posedge wclk)
115
                if (wce) rwadr <= wadr;
116
        always @(posedge wclk)
117
                if (wce) rwrst <= wrst;
118
 
119
        always @(posedge wclk)
120
                if (wce) mem[wadr] <= i;
121
 
122
        assign wo = rwrst ? 0 : mem[rwadr];
123
 
124
`endif
125
 
126
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.