OpenCores
URL https://opencores.org/ocsvn/raptor64/raptor64/trunk

Subversion Repositories raptor64

[/] [raptor64/] [trunk/] [software/] [sample code/] [bootrom.v] - Blame information for rev 27

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 robfinch
64'hFFFFFFFFFFFFE800:   romout <= 64'hFFE980CFFFFFFA54;
2
64'hFFFFFFFFFFFFE808:   romout <= 64'h0CFFFFFFA9033FFF;
3
64'hFFFFFFFFFFFFE810:   romout <= 64'hFFEA48CFFFFFFAA6;
4
64'hFFFFFFFFFFFFE818:   romout <= 64'h0CFFFFFFB6533FFF;
5
64'hFFFFFFFFFFFFE820:   romout <= 64'h000028CFFFFFFBF5;
6
64'hFFFFFFFFFFFFE828:   romout <= 64'h0000000000C00000;
7
64'hFFFFFFFFFFFFE830:   romout <= 64'hFFE9B8BEFFFEFFF8;
8
64'hFFFFFFFFFFFFE838:   romout <= 64'h0C7FFFFFA5031FFF;
9
64'hFFFFFFFFFFFFE840:   romout <= 64'h0003380000000040;
10
64'hFFFFFFFFFFFFE848:   romout <= 64'h1880600041428C00;
11
64'hFFFFFFFFFFFFE850:   romout <= 64'h0000810806000414;
12
64'hFFFFFFFFFFFFE858:   romout <= 64'h1880600041628C00;
13
64'hFFFFFFFFFFFFE860:   romout <= 64'h0010590804000416;
14
64'hFFFFFFFFFFFFE868:   romout <= 64'h2F8860000A842010;
15
64'hFFFFFFFFFFFFE870:   romout <= 64'h001050000000000D;
16
64'hFFFFFFFFFFFFE878:   romout <= 64'h0C7FFFFFB0642018;
17
64'hFFFFFFFFFFFFE880:   romout <= 64'h001058C7FFFFFAF4;
18
64'hFFFFFFFFFFFFE888:   romout <= 64'h1880000041862000;
19
64'hFFFFFFFFFFFFE890:   romout <= 64'hFFFFFCA1FFFFE920;
20
64'hFFFFFFFFFFFFE898:   romout <= 64'h0C7FFFFFBF5387FF;
21
64'hFFFFFFFFFFFFE8A0:   romout <= 64'h0005240802000AA8;
22
64'hFFFFFFFFFFFFE8A8:   romout <= 64'h0A176543210BE100;
23
64'hFFFFFFFFFFFFE8B0:   romout <= 64'h0026A408400008A9;
24
64'hFFFFFFFFFFFFE8B8:   romout <= 64'h0A1FEDCBA9802100;
25
64'hFFFFFFFFFFFFE8C0:   romout <= 64'h0028A40840000929;
26
64'hFFFFFFFFFFFFE8C8:   romout <= 64'h0CFFFFFFCA602100;
27
64'hFFFFFFFFFFFFE8D0:   romout <= 64'h000062F801FFFFCA;
28
64'hFFFFFFFFFFFFE8D8:   romout <= 64'h27F400000030FEF0;
29
64'hFFFFFFFFFFFFE8E0:   romout <= 64'h000000A2FFFFE910;
30
64'hFFFFFFFFFFFFE8E8:   romout <= 64'h2F84000010840208;
31
64'hFFFFFFFFFFFFE8F0:   romout <= 64'h000004C7FFFFFA60;
32
64'hFFFFFFFFFFFFE8F8:   romout <= 64'h2F801FFFFAA0A210;
33
64'hFFFFFFFFFFFFE900:   romout <= 64'h0000627740000003;
34
64'hFFFFFFFFFFFFE908:   romout <= 64'h3780000000037EF8;
35
64'hFFFFFFFFFFFFE910:   romout <= 64'h6F57206F6C6C6548;
36
64'hFFFFFFFFFFFFE918:   romout <= 64'h0000000021646C72;
37
64'hFFFFFFFFFFFFE920:   romout <= 64'h3436726F74706152;
38
64'hFFFFFFFFFFFFE928:   romout <= 64'h206D657473797320;
39
64'hFFFFFFFFFFFFE930:   romout <= 64'h676E697472617473;
40
64'hFFFFFFFFFFFFE938:   romout <= 64'h00000A0D2E2E2E2E;
41
64'hFFFFFFFFFFFFE940:   romout <= 64'h703FC8A1FFFF8007;
42
64'hFFFFFFFFFFFFE948:   romout <= 64'h0DFBE0000009200F;
43
64'hFFFFFFFFFFFFE950:   romout <= 64'h0000003FBC000008;
44
64'hFFFFFFFFFFFFE958:   romout <= 64'h0A1FFDC0A0067E18;
45
64'hFFFFFFFFFFFFE960:   romout <= 64'h0000060046000001;
46
64'hFFFFFFFFFFFFE968:   romout <= 64'h2F8C000000814318;
47
64'hFFFFFFFFFFFFE970:   romout <= 64'h0000011F86000000;
48
64'hFFFFFFFFFFFFE978:   romout <= 64'h0DFBE00000880108;
49
64'hFFFFFFFFFFFFE980:   romout <= 64'h0000203FBC000010;
50
64'hFFFFFFFFFFFFE988:   romout <= 64'h19F8600000067E10;
51
64'hFFFFFFFFFFFFE990:   romout <= 64'h000004A3FFDC0A00;
52
64'hFFFFFFFFFFFFE998:   romout <= 64'h0508400004080310;
53
64'hFFFFFFFFFFFFE9A0:   romout <= 64'h000002F881FFFFA8;
54
64'hFFFFFFFFFFFFE9A8:   romout <= 64'h11F8600000090308;
55
64'hFFFFFFFFFFFFE9B0:   romout <= 64'h0000411F84000008;
56
64'hFFFFFFFFFFFFE9B8:   romout <= 64'h1800000045037EF8;
57
64'hFFFFFFFFFFFFE9C0:   romout <= 64'h0000058000000451;
58
64'hFFFFFFFFFFFFE9C8:   romout <= 64'h1800200041A28400;
59
64'hFFFFFFFFFFFFE9D0:   romout <= 64'h000060DFBE000000;
60
64'hFFFFFFFFFFFFE9D8:   romout <= 64'h27F000000070FEF0;
61
64'hFFFFFFFFFFFFE9E0:   romout <= 64'h00003D2802000450;
62
64'hFFFFFFFFFFFFE9E8:   romout <= 64'h0A30000044014108;
63
64'hFFFFFFFFFFFFE9F0:   romout <= 64'h700000E300000000;
64
64'hFFFFFFFFFFFFE9F8:   romout <= 64'h24801DC000282017;
65
64'hFFFFFFFFFFFFEA00:   romout <= 64'h000005A8C2200010;
66
64'hFFFFFFFFFFFFEA08:   romout <= 64'h0504200000F0A108;
67
64'hFFFFFFFFFFFFEA10:   romout <= 64'h0011458002000450;
68
64'hFFFFFFFFFFFFEA18:   romout <= 64'h2F8440001094A010;
69
64'hFFFFFFFFFFFFEA20:   romout <= 64'h00003C2884000001;
70
64'hFFFFFFFFFFFFEA28:   romout <= 64'h1800400045114210;
71
64'hFFFFFFFFFFFFEA30:   romout <= 64'h0000627700000007;
72
64'hFFFFFFFFFFFFEA38:   romout <= 64'h0DFBE0000000BEF0;
73
64'hFFFFFFFFFFFFEA40:   romout <= 64'h000001800200041A;
74
64'hFFFFFFFFFFFFEA48:   romout <= 64'h03FBC00001037EF8;
75
64'hFFFFFFFFFFFFEA50:   romout <= 64'h0011467F00000006;
76
64'hFFFFFFFFFFFFEA58:   romout <= 64'h128020004504A010;
77
64'hFFFFFFFFFFFFEA60:   romout <= 64'h001102F844000148;
78
64'hFFFFFFFFFFFFEA68:   romout <= 64'h1A8C410000528C00;
79
64'hFFFFFFFFFFFFEA70:   romout <= 64'h00003C2884000001;
80
64'hFFFFFFFFFFFFEA78:   romout <= 64'h1800400045114210;
81
64'hFFFFFFFFFFFFEA80:   romout <= 64'h0000427700000006;
82
64'hFFFFFFFFFFFFEA88:   romout <= 64'h0A1FFFFFFFF37EF8;
83
64'hFFFFFFFFFFFFEA90:   romout <= 64'h0000427700000006;
84
64'hFFFFFFFFFFFFEA98:   romout <= 64'h1280200045137EF8;
85
64'hFFFFFFFFFFFFEAA0:   romout <= 64'h0002A12804000450;
86
64'hFFFFFFFFFFFFEAA8:   romout <= 64'h0A100000001BE110;
87
64'hFFFFFFFFFFFFEAB0:   romout <= 64'h400028DFBE000000;
88
64'hFFFFFFFFFFFFEAB8:   romout <= 64'h0DFBE00000004108;
89
64'hFFFFFFFFFFFFEAC0:   romout <= 64'h0002860803DC0000;
90
64'hFFFFFFFFFFFFEAC8:   romout <= 64'h0A100000001BE100;
91
64'hFFFFFFFFFFFFEAD0:   romout <= 64'h400028DFBE000000;
92
64'hFFFFFFFFFFFFEAD8:   romout <= 64'h0DFBE00000004108;
93
64'hFFFFFFFFFFFFEAE0:   romout <= 64'h0000083FBC000010;
94
64'hFFFFFFFFFFFFEAE8:   romout <= 64'h0A2FFDC00009FD00;
95
64'hFFFFFFFFFFFFEAF0:   romout <= 64'h0000060803DC0000;
96
64'hFFFFFFFFFFFFEAF8:   romout <= 64'h24801DC0002BE100;
97
64'hFFFFFFFFFFFFEB00:   romout <= 64'h00106850420000FF;
98
64'hFFFFFFFFFFFFEB08:   romout <= 64'h2F88000012840010;
99
64'hFFFFFFFFFFFFEB10:   romout <= 64'hFFF026C84010000D;
100
64'hFFFFFFFFFFFFEB18:   romout <= 64'h2F8000000AA31FFF;
101
64'hFFFFFFFFFFFFEB20:   romout <= 64'h000008C7FFFFFB65;
102
64'hFFFFFFFFFFFFEB28:   romout <= 64'h0DFBE0000109DD00;
103
64'hFFFFFFFFFFFFEB30:   romout <= 64'h00000C3FBC000018;
104
64'hFFFFFFFFFFFFEB38:   romout <= 64'h118020004009FD00;
105
64'hFFFFFFFFFFFFEB40:   romout <= 64'h0010002842000001;
106
64'hFFFFFFFFFFFFEB48:   romout <= 64'h0A2FFD0000066008;
107
64'hFFFFFFFFFFFFEB50:   romout <= 64'h00000508820000DE;
108
64'hFFFFFFFFFFFFEB58:   romout <= 64'h188820000DE0A108;
109
64'hFFFFFFFFFFFFEB60:   romout <= 64'h0010010801FF0000;
110
64'hFFFFFFFFFFFFEB68:   romout <= 64'h0504200007F46008;
111
64'hFFFFFFFFFFFFEB70:   romout <= 64'hFFEB86C840080040;
112
64'hFFFFFFFFFFFFEB78:   romout <= 64'h2774000000331FFF;
113
64'hFFFFFFFFFFFFEB80:   romout <= 64'h000080DFBE000018;
114
64'hFFFFFFFFFFFFEB88:   romout <= 64'h27F400000070FEF0;
115
64'hFFFFFFFFFFFFEB90:   romout <= 64'h040000C7FFFFFB55;
116
64'hFFFFFFFFFFFFEB98:   romout <= 64'h108440000000A108;
117
64'hFFFFFFFFFFFFEBA0:   romout <= 64'h0000002884000001;
118
64'hFFFFFFFFFFFFEBA8:   romout <= 64'h1180400040862110;
119
64'hFFFFFFFFFFFFEBB0:   romout <= 64'h001052F8440000A8;
120
64'hFFFFFFFFFFFFEBB8:   romout <= 64'h1888600000042018;
121
64'hFFFFFFFFFFFFEBC0:   romout <= 64'h00001D9802000408;
122
64'hFFFFFFFFFFFFEBC8:   romout <= 64'h0DFBE0000209DD00;
123
64'hFFFFFFFFFFFFEBD0:   romout <= 64'h00003C3FBC000028;
124
64'hFFFFFFFFFFFFEBD8:   romout <= 64'h0A1000005549FD00;
125
64'hFFFFFFFFFFFFEBE0:   romout <= 64'h800060A200000300;
126
64'hFFFFFFFFFFFFEBE8:   romout <= 64'h0100440000904110;
127
64'hFFFFFFFFFFFFEBF0:   romout <= 64'h800000A129292929;
128
64'hFFFFFFFFFFFFEBF8:   romout <= 64'h0E30000000128C00;
129
64'hFFFFFFFFFFFFEC00:   romout <= 64'h00001190C2000000;
130
64'hFFFFFFFFFFFFEC08:   romout <= 64'h2F80400000F0A318;
131
64'hFFFFFFFFFFFFEC10:   romout <= 64'h0000A2774000000F;
132
64'hFFFFFFFFFFFFEC18:   romout <= 64'h03FBC00002837EF8;
133
64'hFFFFFFFFFFFFEC20:   romout <= 64'h6800027F4000000F;
134
64'hFFFFFFFFFFFFEC28:   romout <= 64'h108C200000028FFF;
135
64'hFFFFFFFFFFFFEC30:   romout <= 64'h80006108C4000002;
136
64'hFFFFFFFFFFFFEC38:   romout <= 64'h0A10000002004110;
137
64'hFFFFFFFFFFFFEC40:   romout <= 64'hFFED150808000414;
138
64'hFFFFFFFFFFFFEC48:   romout <= 64'h0A3FFD0000031FFF;
139
64'hFFFFFFFFFFFFEC50:   romout <= 64'h04000188C2000000;
140
64'hFFFFFFFFFFFFEC58:   romout <= 64'h028C600000262320;
141
64'hFFFFFFFFFFFFEC60:   romout <= 64'h00003EF805FFFF8F;
142
64'hFFFFFFFFFFFFEC68:   romout <= 64'h0DFBE0000289DD00;
143
64'hFFFFFFFFFFFFEC70:   romout <= 64'h00003C3FBC000028;
144
64'hFFFFFFFFFFFFEC78:   romout <= 64'h0A3FFDA00009FD00;
145
64'hFFFFFFFFFFFFEC80:   romout <= 64'h00000908C2000000;
146
64'hFFFFFFFFFFFFEC88:   romout <= 64'h0104420001842310;
147
64'hFFFFFFFFFFFFEC90:   romout <= 64'h4000001082200005;
148
64'hFFFFFFFFFFFFEC98:   romout <= 64'h1A8C240000128FFF;
149
64'hFFFFFFFFFFFFECA0:   romout <= 64'h00000988C8000000;
150
64'hFFFFFFFFFFFFECA8:   romout <= 64'h2F805FFFFCF0A318;
151
64'hFFFFFFFFFFFFECB0:   romout <= 64'h000008A3FFDA0000;
152
64'hFFFFFFFFFFFFECB8:   romout <= 64'h0384200000142308;
153
64'hFFFFFFFFFFFFECC0:   romout <= 64'h00003CC7FFFFFB34;
154
64'hFFFFFFFFFFFFECC8:   romout <= 64'h0DFBE0000289DD00;
155
64'hFFFFFFFFFFFFECD0:   romout <= 64'h00001C3FBC000018;
156
64'hFFFFFFFFFFFFECD8:   romout <= 64'h0A3FFDA00009FC00;
157
64'hFFFFFFFFFFFFECE0:   romout <= 64'hC0006108C4000000;
158
64'hFFFFFFFFFFFFECE8:   romout <= 64'h018C608000004208;
159
64'hFFFFFFFFFFFFECF0:   romout <= 64'h00008028C7D00000;
160
64'hFFFFFFFFFFFFECF8:   romout <= 64'h188C200000028400;
161
64'hFFFFFFFFFFFFED00:   romout <= 64'hFFFF3C28C6000002;
162
64'hFFFFFFFFFFFFED08:   romout <= 64'h27700000007BE017;
163
64'hFFFFFFFFFFFFED10:   romout <= 64'h0003FCDFBE000018;
164
64'hFFFFFFFFFFFFED18:   romout <= 64'h2A04024004114108;
165
64'hFFFFFFFFFFFFED20:   romout <= 64'h5001EAB04014005A;
166
64'hFFFFFFFFFFFFED28:   romout <= 64'h2A040140061AE100;
167
64'hFFFFFFFFFFFFED30:   romout <= 64'h0004003042000060;
168
64'hFFFFFFFFFFFFED38:   romout <= 64'h0DFBE00000016108;
169
64'hFFFFFFFFFFFFED40:   romout <= 64'h40006850420000FF;
170
64'hFFFFFFFFFFFFED48:   romout <= 64'h0284200003CAE100;
171
64'hFFFFFFFFFFFFED50:   romout <= 64'h001058DFBE000000;
172
64'hFFFFFFFFFFFFED58:   romout <= 64'h0504200007F42008;
173
64'hFFFFFFFFFFFFED60:   romout <= 64'h000000A3FFDA0000;
174
64'hFFFFFFFFFFFFED68:   romout <= 64'h0108220001882310;
175
64'hFFFFFFFFFFFFED70:   romout <= 64'h0001FD0802000418;
176
64'hFFFFFFFFFFFFED78:   romout <= 64'h0108220000314108;
177
64'hFFFFFFFFFFFFED80:   romout <= 64'h20000248C4000016;
178
64'hFFFFFFFFFFFFED88:   romout <= 64'h02883D0000006210;
179
64'hFFFFFFFFFFFFED90:   romout <= 64'h800034DFBE000000;
180
64'hFFFFFFFFFFFFED98:   romout <= 64'h03FBC000020B2100;
181
64'hFFFFFFFFFFFFEDA0:   romout <= 64'h0010627F40000007;
182
64'hFFFFFFFFFFFFEDA8:   romout <= 64'h2F8000001AA62000;
183
64'hFFFFFFFFFFFFEDB0:   romout <= 64'h000082C840340091;
184
64'hFFFFFFFFFFFFEDB8:   romout <= 64'h27F400000070FEF0;
185
64'hFFFFFFFFFFFFEDC0:   romout <= 64'h5000E10804000418;
186
64'hFFFFFFFFFFFFEDC8:   romout <= 64'h02884000001B0200;
187
64'hFFFFFFFFFFFFEDD0:   romout <= 64'hFFED558804000418;
188
64'hFFFFFFFFFFFFEDD8:   romout <= 64'h2774000000731FFF;
189
64'hFFFFFFFFFFFFEDE0:   romout <= 64'hC00240DFBE000020;
190
64'hFFFFFFFFFFFFEDE8:   romout <= 64'h03FBC000020B2100;
191
64'hFFFFFFFFFFFFEDF0:   romout <= 64'h00105A7F40000007;
192
64'hFFFFFFFFFFFFEDF8:   romout <= 64'h2C0BFE4000042010;
193
64'hFFFFFFFFFFFFEE00:   romout <= 64'h0010583884000001;
194
64'hFFFFFFFFFFFFEE08:   romout <= 64'h2F801FFFEAA62010;
195
64'hFFFFFFFFFFFFEE10:   romout <= 64'h000082C840280093;
196
64'hFFFFFFFFFFFFEE18:   romout <= 64'h27F400000070FEF0;
197
64'hFFFFFFFFFFFFEE20:   romout <= 64'hD000010804000418;
198
64'hFFFFFFFFFFFFEE28:   romout <= 64'h03884000001B02FE;
199
64'hFFFFFFFFFFFFEE30:   romout <= 64'hFFF4A98804000418;
200
64'hFFFFFFFFFFFFEE38:   romout <= 64'h2C840340092BE007;
201
64'hFFFFFFFFFFFFEE40:   romout <= 64'h00001C3FBC000020;
202
64'hFFFFFFFFFFFFEE48:   romout <= 64'h108040004169FD00;
203
64'hFFFFFFFFFFFFEE50:   romout <= 64'h000006C0BF84001E;
204
64'hFFFFFFFFFFFFEE58:   romout <= 64'h188040004160A210;
205
64'hFFFFFFFFFFFFEE60:   romout <= 64'hD00252F801FFFBAA;
206
64'hFFFFFFFFFFFFEE68:   romout <= 64'h03FBC000020B2100;
207
64'hFFFFFFFFFFFFEE70:   romout <= 64'h0010627F40000007;
208
64'hFFFFFFFFFFFFEE78:   romout <= 64'h2F8800000C842010;
209
64'hFFFFFFFFFFFFEE80:   romout <= 64'hFFEAA98800000418;
210
64'hFFFFFFFFFFFFEE88:   romout <= 64'h18800000416BE007;
211
64'hFFFFFFFFFFFFEE90:   romout <= 64'h0000C2F801FFFA2A;
212
64'hFFFFFFFFFFFFEE98:   romout <= 64'h27F4000001F0FEF0;
213
64'hFFFFFFFFFFFFEEA0:   romout <= 64'hFFED56C840180099;
214
64'hFFFFFFFFFFFFEEA8:   romout <= 64'h0100230000931FFF;
215
64'hFFFFFFFFFFFFEEB0:   romout <= 64'h0006A90802000418;
216
64'hFFFFFFFFFFFFEEB8:   romout <= 64'h2C840680008BE000;
217
64'hFFFFFFFFFFFFEEC0:   romout <= 64'h0012A10804000418;
218
64'hFFFFFFFFFFFFEEC8:   romout <= 64'h03884000001BE200;
219
64'hFFFFFFFFFFFFEED0:   romout <= 64'hFFED558804000418;
220
64'hFFFFFFFFFFFFEED8:   romout <= 64'h0100230000931FFF;
221
64'hFFFFFFFFFFFFEEE0:   romout <= 64'h0000090802000418;
222
64'hFFFFFFFFFFFFEEE8:   romout <= 64'h188C400000042310;
223
64'hFFFFFFFFFFFFEEF0:   romout <= 64'h00000428C6000002;
224
64'hFFFFFFFFFFFFEEF8:   romout <= 64'h0A4FFDA00000A108;
225
64'hFFFFFFFFFFFFEF00:   romout <= 64'hFFFC92090A000000;
226
64'hFFFFFFFFFFFFEF08:   romout <= 64'h0A200000020BE12F;
227
64'hFFFFFFFFFFFFEF10:   romout <= 64'h0008A988C5FFFFFE;
228
64'hFFFFFFFFFFFFEF18:   romout <= 64'h2C04040000ABE000;
229
64'hFFFFFFFFFFFFEF20:   romout <= 64'hFFED541002400009;
230
64'hFFFFFFFFFFFFEF28:   romout <= 64'h0100230000931FFF;
231
64'hFFFFFFFFFFFFEF30:   romout <= 64'hFFED141008100009;
232
64'hFFFFFFFFFFFFEF38:   romout <= 64'h188C200000031FFF;
233
64'hFFFFFFFFFFFFEF40:   romout <= 64'h00007CC7FFFFFBD8;
234
64'hFFFFFFFFFFFFEF48:   romout <= 64'h0DFBE0000309DD00;
235
64'hFFFFFFFFFFFFEF50:   romout <= 64'h00007CC7FFFFFBE4;
236
64'hFFFFFFFFFFFFEF58:   romout <= 64'h0DFBE0000309DD00;
237
64'hFFFFFFFFFFFFEF60:   romout <= 64'h00001C3FBC000020;
238
64'hFFFFFFFFFFFFEF68:   romout <= 64'h108020004189FD00;
239
64'hFFFFFFFFFFFFEF70:   romout <= 64'h0010602842000001;
240
64'hFFFFFFFFFFFFEF78:   romout <= 64'h20805DA000062008;
241
64'hFFFFFFFFFFFFEF80:   romout <= 64'h001062F844000226;
242
64'hFFFFFFFFFFFFEF88:   romout <= 64'h2F8000000CA62000;
243
64'hFFFFFFFFFFFFEF90:   romout <= 64'h00001C3FBC000020;
244
64'hFFFFFFFFFFFFEF98:   romout <= 64'h108020004169FD00;
245
64'hFFFFFFFFFFFFEFA0:   romout <= 64'h0010582842000001;
246
64'hFFFFFFFFFFFFEFA8:   romout <= 64'h20805DA000262008;
247
64'hFFFFFFFFFFFFEFB0:   romout <= 64'h000006F8440000A6;
248
64'hFFFFFFFFFFFFEFB8:   romout <= 64'h188040004160E210;
249
64'hFFFFFFFFFFFFEFC0:   romout <= 64'hFFED54C7FFFFFB1C;
250
64'hFFFFFFFFFFFFEFC8:   romout <= 64'h2774000000731FFF;
251
64'hFFFFFFFFFFFFEFD0:   romout <= 64'h000060DFBE000020;
252
64'hFFFFFFFFFFFFEFD8:   romout <= 64'h27F400000030DEF0;
253
64'hFFFFFFFFFFFFEFE0:   romout <= 64'h0000001040200009;
254
64'hFFFFFFFFFFFFEFE8:   romout <= 64'h028840000014A208;
255
64'hFFFFFFFFFFFFEFF0:   romout <= 64'hFFED96F840000088;
256
64'hFFFFFFFFFFFFEFF8:   romout <= 64'h2F801FFFFAA31FFF;
257
64'hFFFFFFFFFFFFF000:   romout <= 64'h0000627740000003;
258
64'hFFFFFFFFFFFFF008:   romout <= 64'h03FBC00000837EF8;
259
64'hFFFFFFFFFFFFF010:   romout <= 64'hFFEFD59FBE000000;
260
64'hFFFFFFFFFFFFF018:   romout <= 64'h11FBE00000031FFF;
261
64'hFFFFFFFFFFFFF020:   romout <= 64'h0000402FBC000008;
262
64'hFFFFFFFFFFFFF028:   romout <= 64'h19F820000000FEF0;
263
64'hFFFFFFFFFFFFF030:   romout <= 64'h0000359FBE000008;
264
64'hFFFFFFFFFFFFF038:   romout <= 64'h0C7FFFFFB6528400;
265
64'hFFFFFFFFFFFFF040:   romout <= 64'hFFED94A10000000A;
266
64'hFFFFFFFFFFFFF048:   romout <= 64'h11F8200000031FFF;
267
64'hFFFFFFFFFFFFF050:   romout <= 64'h0000411FBE000008;
268
64'hFFFFFFFFFFFFF058:   romout <= 64'h03FBC00001037EF8;
269
64'hFFFFFFFFFFFFF060:   romout <= 64'h0000019FBE000008;
270
64'hFFFFFFFFFFFFF068:   romout <= 64'h0504200000F67E08;
271
64'hFFFFFFFFFFFFF070:   romout <= 64'h4000E42842000030;
272
64'hFFFFFFFFFFFFF078:   romout <= 64'h02842000007AC100;
273
64'hFFFFFFFFFFFFF080:   romout <= 64'h000000C7FFFFFB65;
274
64'hFFFFFFFFFFFFF088:   romout <= 64'h11FBE00000847E08;
275
64'hFFFFFFFFFFFFF090:   romout <= 64'h000040DFBE000010;
276
64'hFFFFFFFFFFFFF098:   romout <= 64'h27F400000010FEF0;
277
64'hFFFFFFFFFFFFF0A0:   romout <= 64'hFFF0581842200004;
278
64'hFFFFFFFFFFFFF0A8:   romout <= 64'h0184220000231FFF;
279
64'hFFFFFFFFFFFFF0B0:   romout <= 64'h000004C7FFFFFC16;
280
64'hFFFFFFFFFFFFF0B8:   romout <= 64'h0DFBE0000109DD00;
281
64'hFFFFFFFFFFFFF0C0:   romout <= 64'h0000143FBC000018;
282
64'hFFFFFFFFFFFFF0C8:   romout <= 64'h0A3000000079FD00;
283
64'hFFFFFFFFFFFFF0D0:   romout <= 64'hFFF0941842400002;
284
64'hFFFFFFFFFFFFF0D8:   romout <= 64'h2F80600000F31FFF;
285
64'hFFFFFFFFFFFFF0E0:   romout <= 64'h0000627740000005;
286
64'hFFFFFFFFFFFFF0E8:   romout <= 64'h03FBC00000837EF8;
287
64'hFFFFFFFFFFFFF0F0:   romout <= 64'h0000E99FBE000000;
288
64'hFFFFFFFFFFFFF0F8:   romout <= 64'h0C7FFFFFB6528400;
289
64'hFFFFFFFFFFFFF100:   romout <= 64'hFFF0C01080100009;
290
64'hFFFFFFFFFFFFF108:   romout <= 64'h0A30000000731FFF;
291
64'hFFFFFFFFFFFFF110:   romout <= 64'hFFED94A100000020;
292
64'hFFFFFFFFFFFFF118:   romout <= 64'h1008200000031FFF;
293
64'hFFFFFFFFFFFFF120:   romout <= 64'h000004C7FFFFFC25;
294
64'hFFFFFFFFFFFFF128:   romout <= 64'h2F807FFFF8F0A210;
295
64'hFFFFFFFFFFFFF130:   romout <= 64'h000000C7FFFFFC09;
296
64'hFFFFFFFFFFFFF138:   romout <= 64'h0DFBE00000847EF8;
297
64'hFFFFFFFFFFFFF140:   romout <= 64'h0003F03FBC000030;
298
64'hFFFFFFFFFFFFF148:   romout <= 64'h0A20000000A9FC00;
299
64'hFFFFFFFFFFFFF150:   romout <= 64'hC00070A800000013;
300
64'hFFFFFFFFFFFFF158:   romout <= 64'h018C7E0000004110;
301
64'hFFFFFFFFFFFFF160:   romout <= 64'h800004194FE00000;
302
64'hFFFFFFFFFFFFF168:   romout <= 64'h0110E40000906420;
303
64'hFFFFFFFFFFFFF170:   romout <= 64'h400024194A200001;
304
64'hFFFFFFFFFFFFF178:   romout <= 64'h0704200000004519;
305
64'hFFFFFFFFFFFFF180:   romout <= 64'h000006F811FFFEAF;
306
64'hFFFFFFFFFFFFF188:   romout <= 64'h0194C80000006426;
307
64'hFFFFFFFFFFFFF190:   romout <= 64'h000004110C400009;
308
64'hFFFFFFFFFFFFF198:   romout <= 64'h010081000090652E;
309
64'hFFFFFFFFFFFFF1A0:   romout <= 64'h0003F0100A200009;
310
64'hFFFFFFFFFFFFF1A8:   romout <= 64'h0DFBE0000309DC00;
311
64'hFFFFFFFFFFFFF1B0:   romout <= 64'h0002703FBC000020;
312
64'hFFFFFFFFFFFFF1B8:   romout <= 64'h0A80000000F9FC00;
313
64'hFFFFFFFFFFFFF1C0:   romout <= 64'h0000C0504400000F;
314
64'hFFFFFFFFFFFFF1C8:   romout <= 64'h01885C0000016210;
315
64'hFFFFFFFFFFFFF1D0:   romout <= 64'h0000001908400001;
316
64'hFFFFFFFFFFFFF1D8:   romout <= 64'h0110A4000090632F;
317
64'hFFFFFFFFFFFFF1E0:   romout <= 64'hC0002418C6400001;
318
64'hFFFFFFFFFFFFF1E8:   romout <= 64'h0184220000104310;
319
64'hFFFFFFFFFFFFF1F0:   romout <= 64'h400026F811FFFE8F;
320
64'hFFFFFFFFFFFFF1F8:   romout <= 64'h0100620000904020;
321
64'hFFFFFFFFFFFFF200:   romout <= 64'h000082770000009C;
322
64'hFFFFFFFFFFFFF208:   romout <= 64'h03FBC00003837EF8;
323
64'hFFFFFFFFFFFFF210:   romout <= 64'hC000267F400007C4;
324
64'hFFFFFFFFFFFFF218:   romout <= 64'h0C7FFFFFC5004012;
325
64'hFFFFFFFFFFFFF220:   romout <= 64'hFFF1B01004A00009;
326
64'hFFFFFFFFFFFFF228:   romout <= 64'h0A90000000131FFF;
327
64'hFFFFFFFFFFFFF230:   romout <= 64'h600000A800000007;
328
64'hFFFFFFFFFFFFF238:   romout <= 64'h029CE00000006938;
329
64'hFFFFFFFFFFFFF240:   romout <= 64'h0003FC29CE000004;
330
64'hFFFFFFFFFFFFF248:   romout <= 64'h1A9D630001014118;
331
64'hFFFFFFFFFFFFF250:   romout <= 64'hFFFCBC1842400001;
332
64'hFFFFFFFFFFFFF258:   romout <= 64'h01004100009BE047;
333
64'hFFFFFFFFFFFFF260:   romout <= 64'h400026F813FFFE8F;
334
64'hFFFFFFFFFFFFF268:   romout <= 64'h0C7FFFFFC6C04050;
335
64'hFFFFFFFFFFFFF270:   romout <= 64'h0003FCA800000003;
336
64'hFFFFFFFFFFFFF278:   romout <= 64'h1AA1630001014118;
337
64'hFFFFFFFFFFFFF280:   romout <= 64'hFFFEBC1842400001;
338
64'hFFFFFFFFFFFFF288:   romout <= 64'h182C0000014BE047;
339
64'hFFFFFFFFFFFFF290:   romout <= 64'h0000E277400007C4;
340
64'hFFFFFFFFFFFFF298:   romout <= 64'h0BEFFFEFFF837EF8;
341
64'hFFFFFFFFFFFFF2A0:   romout <= 64'hFFF025800000041A;
342
64'hFFFFFFFFFFFFF2A8:   romout <= 64'h0A10000002431FFF;
343
64'hFFFFFFFFFFFFF2B0:   romout <= 64'hFFEA48C7FFFFFB65;
344
64'hFFFFFFFFFFFFF2B8:   romout <= 64'h2C04007FFFF31FFF;
345
64'hFFFFFFFFFFFFF2C0:   romout <= 64'hFFED96C04010000D;
346
64'hFFFFFFFFFFFFF2C8:   romout <= 64'h2F801FFFFAA31FFF;
347
64'hFFFFFFFFFFFFF2D0:   romout <= 64'hFFED558800000418;
348
64'hFFFFFFFFFFFFF2D8:   romout <= 64'h0104030000931FFF;
349
64'hFFFFFFFFFFFFF2E0:   romout <= 64'h00000908C2000000;
350
64'hFFFFFFFFFFFFF2E8:   romout <= 64'h0C7FFFFFB500A318;
351
64'hFFFFFFFFFFFFF2F0:   romout <= 64'h000002C840140024;
352
64'hFFFFFFFFFFFFF2F8:   romout <= 64'h028C600000242308;
353
64'hFFFFFFFFFFFFF300:   romout <= 64'h4000E8C7FFFFFB50;
354
64'hFFFFFFFFFFFFF308:   romout <= 64'h2C041A00044B0105;
355
64'hFFFFFFFFFFFFF310:   romout <= 64'hD0012AC075140042;
356
64'hFFFFFFFFFFFFF318:   romout <= 64'h2C04280004CB0105;
357
64'hFFFFFFFFFFFFF320:   romout <= 64'h40010EC04054003F;
358
64'hFFFFFFFFFFFFF328:   romout <= 64'h2F801FFFBCAB0100;
359
64'hFFFFFFFFFFFFF330:   romout <= 64'h00000908C2000000;
360
64'hFFFFFFFFFFFFF338:   romout <= 64'h0C7FFFFFB500A318;
361
64'hFFFFFFFFFFFFF340:   romout <= 64'h000002C87F58004C;
362
64'hFFFFFFFFFFFFF348:   romout <= 64'h028C600000242308;
363
64'hFFFFFFFFFFFFF350:   romout <= 64'h20014CC7FFFFFB50;
364
64'hFFFFFFFFFFFFF358:   romout <= 64'h0C7FFFFFB06B21FD;
365
64'hFFFFFFFFFFFFF360:   romout <= 64'h0010598000000418;
366
64'hFFFFFFFFFFFFF368:   romout <= 64'h0C7FFFFFB5560000;
367
64'hFFFFFFFFFFFFF370:   romout <= 64'hFFCE42F801FFF94A;
368
64'hFFFFFFFFFFFFF378:   romout <= 64'h0C7FFFFFBF5287FF;
369
64'hFFFFFFFFFFFFF380:   romout <= 64'h000002F801FFF8CA;
370
64'hFFFFFFFFFFFFF388:   romout <= 64'h37800000000DE000;
371
64'hFFFFFFFFFFFFF390:   romout <= 64'h70736944203D203F;
372
64'hFFFFFFFFFFFFF398:   romout <= 64'h706C65682079616C;
373
64'hFFFFFFFFFFFFF3A0:   romout <= 64'h203D20534C430A0D;
374
64'hFFFFFFFFFFFFF3A8:   romout <= 64'h6373207261656C63;
375
64'hFFFFFFFFFFFFF3B0:   romout <= 64'h203A0A0D6E656572;
376
64'hFFFFFFFFFFFFF3B8:   romout <= 64'h6D2074696445203D;
377
64'hFFFFFFFFFFFFF3C0:   romout <= 64'h79622079726F6D65;
378
64'hFFFFFFFFFFFFF3C8:   romout <= 64'h3D204C0A0D736574;
379
64'hFFFFFFFFFFFFF3D0:   romout <= 64'h31532064616F4C20;
380
64'hFFFFFFFFFFFFF3D8:   romout <= 64'h0A0D656C69662039;
381
64'hFFFFFFFFFFFFF3E0:   romout <= 64'h706D7544203D2044;
382
64'hFFFFFFFFFFFFF3E8:   romout <= 64'h0D79726F6D656D20;
383
64'hFFFFFFFFFFFFF3F0:   romout <= 64'h617473203D20420A;
384
64'hFFFFFFFFFFFFF3F8:   romout <= 64'h20796E6974207472;
385
64'hFFFFFFFFFFFFF400:   romout <= 64'h4A0A0D6369736162;
386
64'hFFFFFFFFFFFFF408:   romout <= 64'h20706D754A203D20;
387
64'hFFFFFFFFFFFFF410:   romout <= 64'h0D65646F63206F74;
388
64'hFFFFFFFFFFFFF418:   romout <= 64'h000000000000000A;
389
64'hFFFFFFFFFFFFF420:   romout <= 64'h0000003FBC000008;
390
64'hFFFFFFFFFFFFF428:   romout <= 64'h108C200000067EF8;
391
64'hFFFFFFFFFFFFF430:   romout <= 64'hFFED4028C6000002;
392
64'hFFFFFFFFFFFFF438:   romout <= 64'h2C07FF8002031FFF;
393
64'hFFFFFFFFFFFFF440:   romout <= 64'h00000038C6000002;
394
64'hFFFFFFFFFFFFF448:   romout <= 64'h0DFBE00000847EF8;
395
64'hFFFFFFFFFFFFF450:   romout <= 64'hFFF4E4C7FFFFFD08;
396
64'hFFFFFFFFFFFFF458:   romout <= 64'h0104050000931FFF;
397
64'hFFFFFFFFFFFFF460:   romout <= 64'hFFF420A400000007;
398
64'hFFFFFFFFFFFFF468:   romout <= 64'h0C7FFFFFD3931FFF;
399
64'hFFFFFFFFFFFFF470:   romout <= 64'h0000058142000000;
400
64'hFFFFFFFFFFFFF478:   romout <= 64'h2F809FFFFAF0A528;
401
64'hFFFFFFFFFFFFF480:   romout <= 64'hFFF422F801FFF0CA;
402
64'hFFFFFFFFFFFFF488:   romout <= 64'h0C7FFFFFD3931FFF;
403
64'hFFFFFFFFFFFFF490:   romout <= 64'h0000001040300009;
404
64'hFFFFFFFFFFFFF498:   romout <= 64'h2F801FFF04A343F8;
405
64'hFFFFFFFFFFFFF4A0:   romout <= 64'hFFF4E4C7FFFFFD08;
406
64'hFFFFFFFFFFFFF4A8:   romout <= 64'h0104020000931FFF;
407
64'hFFFFFFFFFFFFF4B0:   romout <= 64'hFFF0E8C7FFFFFC09;
408
64'hFFFFFFFFFFFFF4B8:   romout <= 64'h0C7FFFFFC3A31FFF;
409
64'hFFFFFFFFFFFFF4C0:   romout <= 64'hFFF0E8C7FFFFFC3A;
410
64'hFFFFFFFFFFFFF4C8:   romout <= 64'h0C7FFFFFC3A31FFF;
411
64'hFFFFFFFFFFFFF4D0:   romout <= 64'hFFF0E8C7FFFFFC3A;
412
64'hFFFFFFFFFFFFF4D8:   romout <= 64'h0C7FFFFFC3A31FFF;
413
64'hFFFFFFFFFFFFF4E0:   romout <= 64'h000062F801FFEDCA;
414
64'hFFFFFFFFFFFFF4E8:   romout <= 64'h27F4000000A0FEF0;
415
64'hFFFFFFFFFFFFF4F0:   romout <= 64'h00003CA200000000;
416
64'hFFFFFFFFFFFFF4F8:   romout <= 64'h108C200000029000;
417
64'hFFFFFFFFFFFFF500:   romout <= 64'hFFED4028C6000002;
418
64'hFFFFFFFFFFFFF508:   romout <= 64'h0C7FFFFFD4E31FFF;
419
64'hFFFFFFFFFFFFF510:   romout <= 64'h800002C0401BFFFF;
420
64'hFFFFFFFFFFFFF518:   romout <= 64'h0504200000F06210;
421
64'hFFFFFFFFFFFFF520:   romout <= 64'hFFFB3C1082200009;
422
64'hFFFFFFFFFFFFF528:   romout <= 64'h01080100009BE027;
423
64'hFFFFFFFFFFFFF530:   romout <= 64'h000062774000000A;
424
64'hFFFFFFFFFFFFF538:   romout <= 64'h2A04054003037EF8;
425
64'hFFFFFFFFFFFFF540:   romout <= 64'h0000C2B840100039;
426
64'hFFFFFFFFFFFFF548:   romout <= 64'h0DFBE0000000E108;
427
64'hFFFFFFFFFFFFF550:   romout <= 64'h60011AA040340041;
428
64'hFFFFFFFFFFFFF558:   romout <= 64'h03842000041AE100;
429
64'hFFFFFFFFFFFFF560:   romout <= 64'h000000284200000A;
430
64'hFFFFFFFFFFFFF568:   romout <= 64'h2A04024006137EF8;
431
64'hFFFFFFFFFFFFF570:   romout <= 64'h000186B840140066;
432
64'hFFFFFFFFFFFFF578:   romout <= 64'h0284200000A0E108;
433
64'hFFFFFFFFFFFFF580:   romout <= 64'hFFFFFCDFBE000000;
434
64'hFFFFFFFFFFFFF588:   romout <= 64'h0DFBE000000287FF;
435
64'hFFFFFFFFFFFFF590:   romout <= 64'hFFF7F2F80000008A;
436
64'hFFFFFFFFFFFFF598:   romout <= 64'h2C84004000A31FFF;
437
64'hFFFFFFFFFFFFF5A0:   romout <= 64'hE00068C7FFFFFDFC;
438
64'hFFFFFFFFFFFFF5A8:   romout <= 64'h2C87FF40053B01F3;
439
64'hFFFFFFFFFFFFF5B0:   romout <= 64'h9000C0C7FFFFFDFC;
440
64'hFFFFFFFFFFFFF5B8:   romout <= 64'h2987FE40039A01FF;
441
64'hFFFFFFFFFFFFF5C0:   romout <= 64'hFFF7F01040400009;
442
64'hFFFFFFFFFFFFF5C8:   romout <= 64'h0C7FFFFFD4E31FFF;
443
64'hFFFFFFFFFFFFF5D0:   romout <= 64'hFFF7F01040200009;
444
64'hFFFFFFFFFFFFF5D8:   romout <= 64'h0C7FFFFFD4E31FFF;
445
64'hFFFFFFFFFFFFF5E0:   romout <= 64'h8000241884200000;
446
64'hFFFFFFFFFFFFF5E8:   romout <= 64'h0108230000904208;
447
64'hFFFFFFFFFFFFF5F0:   romout <= 64'h9000C6C13FA40030;
448
64'hFFFFFFFFFFFFF5F8:   romout <= 64'h2C100B00032B0402;
449
64'hFFFFFFFFFFFFF600:   romout <= 64'h5000D6C100A80033;
450
64'hFFFFFFFFFFFFF608:   romout <= 64'h2C100B40037B04FE;
451
64'hFFFFFFFFFFFFF610:   romout <= 64'h1000E6C100B40038;
452
64'hFFFFFFFFFFFFF618:   romout <= 64'h2F801FFFC2AB0403;
453
64'hFFFFFFFFFFFFF620:   romout <= 64'h00000450C60000FF;
454
64'hFFFFFFFFFFFFF628:   romout <= 64'h0C7FFFFFDFC0E318;
455
64'hFFFFFFFFFFFFF630:   romout <= 64'h800000C7FFFFFD4E;
456
64'hFFFFFFFFFFFFF638:   romout <= 64'h0108220000906210;
457
64'hFFFFFFFFFFFFF640:   romout <= 64'hFFF538C7FFFFFDFC;
458
64'hFFFFFFFFFFFFF648:   romout <= 64'h0188420000031FFF;
459
64'hFFFFFFFFFFFFF650:   romout <= 64'h0000001082200009;
460
64'hFFFFFFFFFFFFF658:   romout <= 64'h0294A00000160510;
461
64'hFFFFFFFFFFFFF660:   romout <= 64'hFFF7F2F807FFFE4F;
462
64'hFFFFFFFFFFFFF668:   romout <= 64'h0C7FFFFFD4E31FFF;
463
64'hFFFFFFFFFFFFF670:   romout <= 64'h8000241884200000;
464
64'hFFFFFFFFFFFFF678:   romout <= 64'h0C7FFFFFDFC04208;
465
64'hFFFFFFFFFFFFF680:   romout <= 64'h800000C7FFFFFD4E;
466
64'hFFFFFFFFFFFFF688:   romout <= 64'h0108220000906210;
467
64'hFFFFFFFFFFFFF690:   romout <= 64'hFFF6E6F801FFF82A;
468
64'hFFFFFFFFFFFFF698:   romout <= 64'h2F801FFFC8A31FFF;
469
64'hFFFFFFFFFFFFF6A0:   romout <= 64'hFFF028C7FFFFFDC1;
470
64'hFFFFFFFFFFFFF6A8:   romout <= 64'h0C7FFFFFDC9BE007;
471
64'hFFFFFFFFFFFFF6B0:   romout <= 64'hFFF726F801FFFB8A;
472
64'hFFFFFFFFFFFFF6B8:   romout <= 64'h1980A00000031FFF;
473
64'hFFFFFFFFFFFFF6C0:   romout <= 64'hFFF706F801FFDECA;
474
64'hFFFFFFFFFFFFF6C8:   romout <= 64'h1980A00000031FFF;
475
64'hFFFFFFFFFFFFF6D0:   romout <= 64'hFFF6E6F801FFDE4A;
476
64'hFFFFFFFFFFFFF6D8:   romout <= 64'h1980A00000031FFF;
477
64'hFFFFFFFFFFFFF6E0:   romout <= 64'h000022F801FFDDCA;
478
64'hFFFFFFFFFFFFF6E8:   romout <= 64'h19FBE0000000FEF0;
479
64'hFFFFFFFFFFFFF6F0:   romout <= 64'hFFF538C7FFFFFDFC;
480
64'hFFFFFFFFFFFFF6F8:   romout <= 64'h0104020000931FFF;
481
64'hFFFFFFFFFFFFF700:   romout <= 64'h000022F8000004AA;
482
64'hFFFFFFFFFFFFF708:   romout <= 64'h19FBE0000000FEF0;
483
64'hFFFFFFFFFFFFF710:   romout <= 64'hFFF538C7FFFFFDFC;
484
64'hFFFFFFFFFFFFF718:   romout <= 64'h0104020000931FFF;
485
64'hFFFFFFFFFFFFF720:   romout <= 64'h000022F80000024A;
486
64'hFFFFFFFFFFFFF728:   romout <= 64'h19FBE0000000FEF0;
487
64'hFFFFFFFFFFFFF730:   romout <= 64'hFFF538C7FFFFFDFC;
488
64'hFFFFFFFFFFFFF738:   romout <= 64'h0104020000931FFF;
489
64'hFFFFFFFFFFFFF740:   romout <= 64'hFFF538C7FFFFFDFC;
490
64'hFFFFFFFFFFFFF748:   romout <= 64'h0188420000031FFF;
491
64'hFFFFFFFFFFFFF750:   romout <= 64'hFFF7F01044200009;
492
64'hFFFFFFFFFFFFF758:   romout <= 64'h0C7FFFFFD4E31FFF;
493
64'hFFFFFFFFFFFFF760:   romout <= 64'h8000241884200000;
494
64'hFFFFFFFFFFFFF768:   romout <= 64'h0C7FFFFFDFC04208;
495
64'hFFFFFFFFFFFFF770:   romout <= 64'h800000C7FFFFFD4E;
496
64'hFFFFFFFFFFFFF778:   romout <= 64'h0108220000906210;
497
64'hFFFFFFFFFFFFF780:   romout <= 64'hFFF538C7FFFFFDFC;
498
64'hFFFFFFFFFFFFF788:   romout <= 64'h0188420000031FFF;
499
64'hFFFFFFFFFFFFF790:   romout <= 64'hFFF7F01082200009;
500
64'hFFFFFFFFFFFFF798:   romout <= 64'h0C7FFFFFD4E31FFF;
501
64'hFFFFFFFFFFFFF7A0:   romout <= 64'h8000241884200000;
502
64'hFFFFFFFFFFFFF7A8:   romout <= 64'h0C7FFFFFDFC04208;
503
64'hFFFFFFFFFFFFF7B0:   romout <= 64'h800000C7FFFFFD4E;
504
64'hFFFFFFFFFFFFF7B8:   romout <= 64'h0108220000906210;
505
64'hFFFFFFFFFFFFF7C0:   romout <= 64'hFFF538C7FFFFFDFC;
506
64'hFFFFFFFFFFFFF7C8:   romout <= 64'h0188420000031FFF;
507
64'hFFFFFFFFFFFFF7D0:   romout <= 64'h0000281082200009;
508
64'hFFFFFFFFFFFFF7D8:   romout <= 64'h0108050000904421;
509
64'hFFFFFFFFFFFFF7E0:   romout <= 64'h0000211FBE000000;
510
64'hFFFFFFFFFFFFF7E8:   romout <= 64'h0DFBE0000000BEF0;
511
64'hFFFFFFFFFFFFF7F0:   romout <= 64'h0000003FBC000008;
512
64'hFFFFFFFFFFFFF7F8:   romout <= 64'h0C7FFFFFAA667EF8;
513
64'hFFFFFFFFFFFFF800:   romout <= 64'hFFEA4AF841FF8B08;
514
64'hFFFFFFFFFFFFF808:   romout <= 64'h2C07A98000031FFF;
515
64'hFFFFFFFFFFFFF810:   romout <= 64'hFFFD20C7FFFFFE30;
516
64'hFFFFFFFFFFFFF818:   romout <= 64'h11FBE000000BE107;
517
64'hFFFFFFFFFFFFF820:   romout <= 64'h0000002FBC000008;
518
64'hFFFFFFFFFFFFF828:   romout <= 64'h0580200000037EF8;
519
64'hFFFFFFFFFFFFF830:   romout <= 64'h7040998803DC1026;
520
64'hFFFFFFFFFFFFF838:   romout <= 64'h2C84004000F4200F;
521
64'hFFFFFFFFFFFFF840:   romout <= 64'h7040085802000000;
522
64'hFFFFFFFFFFFFF848:   romout <= 64'h18803DC10046200F;
523
64'hFFFFFFFFFFFFF850:   romout <= 64'h0000BC5802001F40;
524
64'hFFFFFFFFFFFFF858:   romout <= 64'h0580200000FBE008;
525
64'hFFFFFFFFFFFFF860:   romout <= 64'h00D1B98803D50080;
526
64'hFFFFFFFFFFFFF868:   romout <= 64'h18803D5000016008;
527
64'hFFFFFFFFFFFFF870:   romout <= 64'h5400205802000020;
528
64'hFFFFFFFFFFFFF878:   romout <= 64'h058020000406200F;
529
64'hFFFFFFFFFFFFF880:   romout <= 64'h0003018803D5000A;
530
64'hFFFFFFFFFFFFF888:   romout <= 64'h18803D5000C16008;
531
64'hFFFFFFFFFFFFF890:   romout <= 64'h5400385802000FA0;
532
64'hFFFFFFFFFFFFF898:   romout <= 64'h058020011046200F;
533
64'hFFFFFFFFFFFFF8A0:   romout <= 64'h0000018803D50004;
534
64'hFFFFFFFFFFFFF8A8:   romout <= 64'h058037D7840E0000;
535
64'hFFFFFFFFFFFFF8B0:   romout <= 64'h000002F80200000F;
536
64'hFFFFFFFFFFFFF8B8:   romout <= 64'h0DFBE00000016008;
537
64'hFFFFFFFFFFFFF8C0:   romout <= 64'h0000060003DC0A01;
538
64'hFFFFFFFFFFFFF8C8:   romout <= 64'h2F8400000C814108;
539
64'hFFFFFFFFFFFFF8D0:   romout <= 64'h0001FE0003DC0A00;
540
64'hFFFFFFFFFFFFF8D8:   romout <= 64'h0DFBE00000014108;
541
64'hFFFFFFFFFFFFF8E0:   romout <= 64'h000000CFFFFFFCA6;
542
64'hFFFFFFFFFFFFF8E8:   romout <= 64'h37800000000DE000;
543
64'hFFFFFFFFFFFFF8F0:   romout <= 64'h726F747061520A0D;
544
64'hFFFFFFFFFFFFF8F8:   romout <= 64'h20796E6954203436;
545
64'hFFFFFFFFFFFFF900:   romout <= 64'h3176204349534142;
546
64'hFFFFFFFFFFFFF908:   romout <= 64'h202943280A0D302E;
547
64'hFFFFFFFFFFFFF910:   romout <= 64'h6F52202032313032;
548
64'hFFFFFFFFFFFFF918:   romout <= 64'h6E69462074726562;
549
64'hFFFFFFFFFFFFF920:   romout <= 64'h0A0D000A0A0D6863;
550
64'hFFFFFFFFFFFFF928:   romout <= 64'h616857000A0D4B4F;
551
64'hFFFFFFFFFFFFF930:   romout <= 64'h726F53000A0D3F74;
552
64'hFFFFFFFFFFFFF938:   romout <= 64'h6F43000A0D2E7972;
553
64'hFFFFFFFFFFFFF940:   romout <= 64'h4C4620746361706D;
554
64'hFFFFFFFFFFFFF948:   romout <= 64'h6461657220485341;
555
64'hFFFFFFFFFFFFF950:   romout <= 64'h0A0D726F72726520;
556
64'hFFFFFFFFFFFFF958:   romout <= 64'h207265626D754E00;
557
64'hFFFFFFFFFFFFF960:   romout <= 64'h62206F6F74207369;
558
64'hFFFFFFFFFFFFF968:   romout <= 64'h766944000A0D6769;
559
64'hFFFFFFFFFFFFF970:   romout <= 64'h7962206E6F697369;
560
64'hFFFFFFFFFFFFF978:   romout <= 64'h000A0D6F72657A20;
561
64'hFFFFFFFFFFFFF980:   romout <= 64'h7620666F2074754F;
562
64'hFFFFFFFFFFFFF988:   romout <= 64'h20656C6261697261;
563
64'hFFFFFFFFFFFFF990:   romout <= 64'h000A0D6563617073;
564
64'hFFFFFFFFFFFFF998:   romout <= 64'h6620736574796220;
565
64'hFFFFFFFFFFFFF9A0:   romout <= 64'h0A0D000A0D656572;
566
64'hFFFFFFFFFFFFF9A8:   romout <= 64'h000A0D7964616552;
567
64'hFFFFFFFFFFFFF9B0:   romout <= 64'h6E69746365707845;
568
64'hFFFFFFFFFFFFF9B8:   romout <= 64'h6D6D6F6320612067;
569
64'hFFFFFFFFFFFFF9C0:   romout <= 64'h656E694C000A0D61;
570
64'hFFFFFFFFFFFFF9C8:   romout <= 64'h207265626D756E20;
571
64'hFFFFFFFFFFFFF9D0:   romout <= 64'h0D676962206F6F74;
572
64'hFFFFFFFFFFFFF9D8:   romout <= 64'h746365707845000A;
573
64'hFFFFFFFFFFFFF9E0:   romout <= 64'h6176206120676E69;
574
64'hFFFFFFFFFFFFF9E8:   romout <= 64'h0A0D656C62616972;
575
64'hFFFFFFFFFFFFF9F0:   romout <= 64'h64616220444E5200;
576
64'hFFFFFFFFFFFFF9F8:   romout <= 64'h74656D6172617020;
577
64'hFFFFFFFFFFFFFA00:   romout <= 64'h535953000A0D7265;
578
64'hFFFFFFFFFFFFFA08:   romout <= 64'h6464612064616220;
579
64'hFFFFFFFFFFFFFA10:   romout <= 64'h49000A0D73736572;
580
64'hFFFFFFFFFFFFFA18:   romout <= 64'h707865205455504E;
581
64'hFFFFFFFFFFFFFA20:   romout <= 64'h6120676E69746365;
582
64'hFFFFFFFFFFFFFA28:   romout <= 64'h6C62616972617620;
583
64'hFFFFFFFFFFFFFA30:   romout <= 64'h5458454E000A0D65;
584
64'hFFFFFFFFFFFFFA38:   romout <= 64'h74756F6874697720;
585
64'hFFFFFFFFFFFFFA40:   romout <= 64'h4E000A0D524F4620;
586
64'hFFFFFFFFFFFFFA48:   romout <= 64'h6570786520545845;
587
64'hFFFFFFFFFFFFFA50:   romout <= 64'h206120676E697463;
588
64'hFFFFFFFFFFFFFA58:   romout <= 64'h2064656E69666564;
589
64'hFFFFFFFFFFFFFA60:   romout <= 64'h656C626169726176;
590
64'hFFFFFFFFFFFFFA68:   romout <= 64'h2F4F544F47000A0D;
591
64'hFFFFFFFFFFFFFA70:   romout <= 64'h6162204255534F47;
592
64'hFFFFFFFFFFFFFA78:   romout <= 64'h6E20656E696C2064;
593
64'hFFFFFFFFFFFFFA80:   romout <= 64'h000A0D7265626D75;
594
64'hFFFFFFFFFFFFFA88:   romout <= 64'h77204E5255544552;
595
64'hFFFFFFFFFFFFFA90:   romout <= 64'h472074756F687469;
596
64'hFFFFFFFFFFFFFA98:   romout <= 64'h50000A0D4255534F;
597
64'hFFFFFFFFFFFFFAA0:   romout <= 64'h69206D6172676F72;
598
64'hFFFFFFFFFFFFFAA8:   romout <= 64'h6962206F6F742073;
599
64'hFFFFFFFFFFFFFAB0:   romout <= 64'h72747845000A0D67;
600
64'hFFFFFFFFFFFFFAB8:   romout <= 64'h6361726168632061;
601
64'hFFFFFFFFFFFFFAC0:   romout <= 64'h206E6F2073726574;
602
64'hFFFFFFFFFFFFFAC8:   romout <= 64'h6E676920656E696C;
603
64'hFFFFFFFFFFFFFAD0:   romout <= 64'h0D000A0D6465726F;
604
64'hFFFFFFFFFFFFFAD8:   romout <= 64'h0D000A0A0D00520A;
605
64'hFFFFFFFFFFFFFAE0:   romout <= 64'h0048000A0D004F0A;
606
64'hFFFFFFFFFFFFFAE8:   romout <= 64'h000A0D0057000A0D;
607
64'hFFFFFFFFFFFFFAF0:   romout <= 64'h000000000A0D0053;
608
64'hFFFFFFFFFFFFFAF8:   romout <= 64'h0000000000000000;
609
64'hFFFFFFFFFFFFFB00:   romout <= 64'hAAAB541000800009;
610
64'hFFFFFFFFFFFFFB08:   romout <= 64'h05802AA5555F5554;
611
64'hFFFFFFFFFFFFFB10:   romout <= 64'h0000019A02000000;
612
64'hFFFFFFFFFFFFFB18:   romout <= 64'h0104430000646810;
613
64'hFFFFFFFFFFFFFB20:   romout <= 64'h000022F8C00000C9;
614
64'hFFFFFFFFFFFFFB28:   romout <= 64'h380000000020A840;
615
64'hFFFFFFFFFFFFFB30:   romout <= 64'hFFFC004206000000;
616
64'hFFFFFFFFFFFFFB38:   romout <= 64'h01200A00009BE307;
617
64'hFFFFFFFFFFFFFB40:   romout <= 64'h0000001000800009;
618
64'hFFFFFFFFFFFFFB48:   romout <= 64'h3D5552AAAD546810;
619
64'hFFFFFFFFFFFFFB50:   romout <= 64'h0004244086AA5555;
620
64'hFFFFFFFFFFFFFB58:   romout <= 64'h02210000008BE300;
621
64'hFFFFFFFFFFFFFB60:   romout <= 64'h0000038000000000;
622
64'hFFFFFFFFFFFFFB68:   romout <= 64'h2F8C1FFFF201081C;
623
64'hFFFFFFFFFFFFFB70:   romout <= 64'h000026FA140003A9;
624
64'hFFFFFFFFFFFFFB78:   romout <= 64'h3AAAAD5552A04002;
625
64'hFFFFFFFFFFFFFB80:   romout <= 64'h000000580355AAAA;
626
64'hFFFFFFFFFFFFFB88:   romout <= 64'h11A0400000066808;
627
64'hFFFFFFFFFFFFFB90:   romout <= 64'h0004241044300006;
628
64'hFFFFFFFFFFFFFB98:   romout <= 64'h02210000008BE300;
629
64'hFFFFFFFFFFFFFBA0:   romout <= 64'h0000038000000000;
630
64'hFFFFFFFFFFFFFBA8:   romout <= 64'h2F8C1FFFF201081C;
631
64'hFFFFFFFFFFFFFBB0:   romout <= 64'h0000241200B00009;
632
64'hFFFFFFFFFFFFFBB8:   romout <= 64'h11A0400000004002;
633
64'hFFFFFFFFFFFFFBC0:   romout <= 64'h56AAABAAAAD5552A;
634
64'hFFFFFFFFFFFFFBC8:   romout <= 64'h2F8C00001291021D;
635
64'hFFFFFFFFFFFFFBD0:   romout <= 64'h0000002210000008;
636
64'hFFFFFFFFFFFFFBD8:   romout <= 64'h04207000000E0000;
637
64'hFFFFFFFFFFFFFBE0:   romout <= 64'h000222F8C1FFFEC0;
638
64'hFFFFFFFFFFFFFBE8:   romout <= 64'h01216800014BE858;
639
64'hFFFFFFFFFFFFFBF0:   romout <= 64'h000052FA14000048;
640
64'hFFFFFFFFFFFFFBF8:   romout <= 64'h1981000040004852;
641
64'hFFFFFFFFFFFFFC00:   romout <= 64'h000040DFBE000000;
642
64'hFFFFFFFFFFFFFC08:   romout <= 64'h27F400000010FEF0;
643
64'hFFFFFFFFFFFFFC10:   romout <= 64'h5000060803DC0FF0;
644
64'hFFFFFFFFFFFFFC18:   romout <= 64'h2C840180002B01B0;
645
64'hFFFFFFFFFFFFFC20:   romout <= 64'h0002A8C7FFFFFACC;
646
64'hFFFFFFFFFFFFFC28:   romout <= 64'h2C84014000FBE000;
647
64'hFFFFFFFFFFFFFC30:   romout <= 64'h000004C7FFFFFA75;
648
64'hFFFFFFFFFFFFFC38:   romout <= 64'h02FBC0000109DD00;
649
64'hFFFFFFFFFFFFFC40:   romout <= 64'h0000800000000020;
650
64'hFFFFFFFFFFFFFC48:   romout <= 64'h19803FF000000000;
651
64'hFFFFFFFFFFFFFC50:   romout <= 64'h0000D19805FF0008;
652
64'hFFFFFFFFFFFFFC58:   romout <= 64'h2F84000002902008;
653
64'hFFFFFFFFFFFFFC60:   romout <= 64'h0010A00802000228;
654
64'hFFFFFFFFFFFFFC68:   romout <= 64'h008800005A902010;
655
64'hFFFFFFFFFFFFFC70:   romout <= 64'h40000C1884680001;
656
64'hFFFFFFFFFFFFFC78:   romout <= 64'h1184400000004110;
657
64'hFFFFFFFFFFFFFC80:   romout <= 64'h0014A45084000000;
658
64'hFFFFFFFFFFFFFC88:   romout <= 64'h1184400000802200;
659
64'hFFFFFFFFFFFFFC90:   romout <= 64'h0016A45084000000;
660
64'hFFFFFFFFFFFFFC98:   romout <= 64'h0080000003402200;
661
64'hFFFFFFFFFFFFFCA0:   romout <= 64'hFC00000800000035;
662
64'hFFFFFFFFFFFFFCA8:   romout <= 64'h11805FF00084600F;
663
64'hFFFFFFFFFFFFFCB0:   romout <= 64'h0000000000000020;
664
64'hFFFFFFFFFFFFFCB8:   romout <= 64'h37800000000DE000;
665
64'hFFFFFFFFFFFFFFB0:   romout <= 64'h000000CFFFFFFF12;
666
64'hFFFFFFFFFFFFFFB8:   romout <= 64'h37800000000DE000;
667
64'hFFFFFFFFFFFFFFC0:   romout <= 64'h000000CFFFFFFF12;
668
64'hFFFFFFFFFFFFFFC8:   romout <= 64'h37800000000DE000;
669
64'hFFFFFFFFFFFFFFD0:   romout <= 64'h000000CFFFFFFF01;
670
64'hFFFFFFFFFFFFFFD8:   romout <= 64'h37800000000DE000;
671
64'hFFFFFFFFFFFFFFE0:   romout <= 64'h000000CFFFFFFF11;
672
64'hFFFFFFFFFFFFFFE8:   romout <= 64'h37800000000DE000;
673
64'hFFFFFFFFFFFFFFF0:   romout <= 64'h000000CFFFFFFA09;
674
64'hFFFFFFFFFFFFFFF8:   romout <= 64'h37800000000DE000;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.