OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [arithpack.vhd] - Blame information for rev 166

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 151 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3 153 jguarin200
use ieee.std_logic_arith.all;
4
use ieee.math_real.all;
5 151 jguarin200
 
6 153 jguarin200
library std;
7
use std.textio.all;
8
 
9 159 jguarin200
 
10
 
11 151 jguarin200
--! Memory Compiler Library
12 159 jguarin200
library altera_mf;
13
use altera_mf.all;
14 151 jguarin200
library lpm;
15
use lpm.all;
16
 
17
 
18
 
19
package arithpack is
20
        --! Estados para la maquina de estados.
21
        type macState is (LOAD_INSTRUCTION,FLUSH_ARITH_PIPELINE,EXECUTE_INSTRUCTION);
22
        --! Estados para el controlador de interrupciones.
23
        type iCtrlState is (WAITING_FOR_AN_EVENT,FIRING_INTERRUPTIONS,SUSPEND);
24 152 jguarin200
 
25
        --! Float data blocks
26
        constant floatwidth : integer := 32;
27
        constant widthadmemblock : integer := 9;
28
 
29 156 jguarin200
 
30
        subtype xfloat32 is std_logic_vector(31 downto 0);
31
        type    v3f     is array(02 downto 0) of xfloat32;
32
 
33
        --! Constantes para definir 
34
 
35
        --!type vectorblock12 is array (11 downto 0) of std_logic_vector(floatwidth-1 downto 0);
36
        type    vectorblock12 is array (11 downto 0) of xfloat32;
37
 
38
        type    vectorblock08 is array (07 downto 0) of xfloat32;
39 152 jguarin200
        type    vectorblock06 is array (05 downto 0) of std_logic_vector(floatwidth-1 downto 0);
40
        type    vectorblock04 is array (03 downto 0) of std_logic_vector(floatwidth-1 downto 0);
41
        type    vectorblock03 is array (02 downto 0) of std_logic_vector(floatwidth-1 downto 0);
42
        type    vectorblock02 is array (01 downto 0) of std_logic_vector(floatwidth-1 downto 0);
43
        type    vectorblockadd02 is array (01 downto 0) of std_logic_vector(widthadmemblock-1 downto 0);
44
 
45
 
46 153 jguarin200
 
47
 
48 156 jguarin200
 
49 151 jguarin200
        --! Constante de reseteo
50
        constant rstMasterValue : std_logic :='0';
51
        --! Constantes periodicas.
52
        constant tclk   : time := 20 ns;
53
        constant tclk_2 : time := tclk/2;
54
        constant tclk_4 : time := tclk/4;
55
 
56 152 jguarin200
 
57
        component raytrac
58
        port (
59
 
60
                clk : in std_logic;
61
                rst : in std_logic;
62
 
63
                --! Señal de lectura de alguna de las colas de resultados.
64
                rd      : in std_logic;
65
 
66
                --! Señal de escritura en alguno de los bloques de memoria de operandos o en la cola de instrucciones.
67
                wr      : in std_logic;
68
 
69
                --! Direccion de escritura o lectura
70
                add : in std_logic_vector (12 downto 0);
71
 
72
                --! datos de entrada
73
                d       : in std_logic_vector (31 downto 0);
74
 
75
                --! Interrupciones
76
                int     : out std_logic_vector (7 downto 0);
77
 
78
                --! Salidas
79
                q : out std_logic_vector (31 downto 0)
80
 
81
 
82
 
83
        );
84
        end component;
85
 
86
        --! Componentes Aritméticos
87
 
88
        component fadd32
89
        port (
90
                clk : in std_logic;
91
                dpc : in std_logic;
92 158 jguarin200
                a32 : in xfloat32;
93
                b32 : in xfloat32;
94
                c32 : out xfloat32
95 152 jguarin200
        );
96
        end component;
97
        component fmul32
98
        port (
99
                clk : in std_logic;
100 158 jguarin200
                a32 : in xfloat32;
101
                b32 : in xfloat32;
102
                p32 : out xfloat32
103 152 jguarin200
        );
104
        end component;
105
 
106
 
107
        --! Contadores para la máquina de estados.
108
 
109 151 jguarin200
        component customCounter
110
        generic (
111
                EOBFLAG         : string ;
112
                ZEROFLAG        : string ;
113
                BACKWARDS       : string ;
114
                EQUALFLAG       : string ;
115
                subwidth        : integer;
116
                width           : integer
117
 
118
        );
119
        port (
120
                clk,rst,go,set  : in std_logic;
121
                setValue,cmpBlockValue          : in std_Logic_vector(width-1 downto subwidth);
122
                zero_flag,eob_flag,eq_flag      : out std_logic;
123
                count                   : out std_logic_vector(width-1 downto 0)
124
        );
125
        end component;
126
 
127 155 jguarin200
        --! LPM_MULTIPLIER
128
        component lpm_mult
129
        generic (
130
                lpm_hint                        : string;
131
                lpm_pipeline            : natural;
132
                lpm_representation      : string;
133
                lpm_type                        : string;
134
                lpm_widtha                      : natural;
135
                lpm_widthb                      : natural;
136
                lpm_widthp                      : natural
137
        );
138
        port (
139
                dataa   : in std_logic_vector ( lpm_widtha-1 downto 0 );
140
                datab   : in std_logic_vector ( lpm_widthb-1 downto 0 );
141
                result  : out std_logic_vector( lpm_widthp-1 downto 0 )
142
        );
143
        end component;
144 151 jguarin200
        --! LPM Memory Compiler.
145
        component scfifo
146
        generic (
147
                add_ram_output_register :string;
148
                almost_full_value               :natural;
149 159 jguarin200
                allow_rwcycle_when_full :string;
150 151 jguarin200
                intended_device_family  :string;
151
                lpm_hint                                :string;
152
                lpm_numwords                    :natural;
153
                lpm_showahead                   :string;
154
                lpm_type                                :string;
155
                lpm_width                               :natural;
156
                lpm_widthu                              :natural;
157
                overflow_checking               :string;
158
                underflow_checking              :string;
159
                use_eab                                 :string
160
        );
161
        port(
162
                rdreq           : in std_logic;
163
                aclr            : in std_logic;
164
                empty           : out std_logic;
165
                clock           : in std_logic;
166
                q                       : out std_logic_vector(lpm_width-1 downto 0);
167
                wrreq           : in std_logic;
168
                data            : in std_logic_vector(lpm_width-1 downto 0);
169
                almost_full : out std_logic;
170
                full            : out std_logic
171
        );
172
        end component;
173
 
174
 
175
        component altsyncram
176
        generic (
177
                address_aclr_b                  : string;
178
                address_reg_b                   : string;
179
                clock_enable_input_a    : string;
180
                clock_enable_input_b    : string;
181
                clock_enable_output_b   : string;
182
                intended_device_family  : string;
183
                lpm_type                                : string;
184
                numwords_a                              : natural;
185
                numwords_b                              : natural;
186
                operation_mode                  : string;
187
                outdata_aclr_b                  : string;
188
                outdata_reg_b                   : string;
189
                power_up_uninitialized  : string;
190
                ram_block_type                  : string;
191
                rdcontrol_reg_b                 : string;
192
                read_during_write_mode_mixed_ports      : string;
193
                widthad_a                               : natural;
194
                widthad_b                               : natural;
195
                width_a                                 : natural;
196
                width_b                                 : natural;
197
                width_byteena_a                 : natural
198
        );
199
        port (
200
                wren_a          : in std_logic;
201
                clock0          : in std_logic;
202
                address_a       : in std_logic_vector(8 downto 0);
203
                address_b       : in std_logic_vector(8 downto 0);
204
                rden_b          : in std_logic;
205
                q_b                     : out std_logic_vector(31 downto 0);
206
                data_a          : in std_logic_vector(31 downto 0)
207
 
208
        );
209
        end component;
210
 
211
        --! Maquina de Estados.
212
        component sm
213 152 jguarin200
 
214 151 jguarin200
        port (
215
 
216
                --! Señales normales de secuencia.
217
                clk,rst:                        in std_logic;
218 152 jguarin200
                --! Vector con las instrucción codficada
219 151 jguarin200
                instrQq:in std_logic_vector(31 downto 0);
220 152 jguarin200
                --! Señal de cola vacia.
221 151 jguarin200
                instrQ_empty:in std_logic;
222
                adda,addb:out std_logic_vector (8 downto 0);
223
                sync_chain_0,instrRdAckd:out std_logic;
224
                full_r:         in std_logic;   --! Indica que la cola de resultados no puede aceptar mas de 32 elementos.
225
                --! End Of Instruction Event
226
                eoi     : out std_logic;
227
 
228
                --! DataPath Control uca code.
229
                dpc_uca : out std_logic_vector (2 downto 0);
230
                state   : out macState
231
        );
232
        end component;
233
        --! Maquina de Interrupciones
234
        component im
235
        generic (
236
                num_events : integer ;
237
                cycles_to_wait : integer
238
        );
239
        port (
240
                clk,rst:                in std_logic;
241
                rfull_events:   in std_logic_vector(num_events-1 downto 0);      --! full results queue events
242
                eoi_events:             in std_logic_vector(num_events-1 downto 0);      --! end of instruction related events
243
                eoi_int:                out std_logic_vector(num_events-1 downto 0);--! end of instruction related interruptions
244
                rfull_int:              out std_logic_vector(num_events-1downto 0);      --! full results queue related interruptions
245
                state:                  out iCtrlState
246
        );
247
        end component;
248
        --! Bloque de memorias
249
        component memblock
250
        generic (
251
                blocksize                                       : integer;
252
                external_readable_widthad       : integer;
253
                external_writeable_widthad      : integer
254
        );
255
        port (
256
 
257
 
258
                clk,rst,dpfifo_rd,normfifo_rd,dpfifo_wr,normfifo_wr : in std_logic;
259
                instrfifo_rd : in std_logic;
260 158 jguarin200
                resultfifo_wr: in std_logic_vector(8-1 downto 0);
261 151 jguarin200
                instrfifo_empty: out std_logic; ext_rd,ext_wr: in std_logic;
262
                ext_wr_add : in std_logic_vector(external_writeable_widthad+widthadmemblock-1 downto 0);
263
                ext_rd_add : in std_logic_vector(external_readable_widthad-1 downto 0);
264 152 jguarin200
                ext_d: in std_logic_vector(floatwidth-1 downto 0);
265 158 jguarin200
                int_d : in vectorblock08;
266 151 jguarin200
                resultfifo_full  : out std_logic_vector(3 downto 0);
267 152 jguarin200
                ext_q,instrfifo_q : out std_logic_vector(floatwidth-1 downto 0);
268 158 jguarin200
                int_q : out vectorblock12;
269 151 jguarin200
                int_rd_add : in std_logic_vector(2*widthadmemblock-1 downto 0);
270 152 jguarin200
                dpfifo_d : in std_logic_vector(floatwidth*2-1 downto 0);
271
                normfifo_d : in std_logic_vector(floatwidth*3-1 downto 0);
272
                dpfifo_q : out std_logic_vector(floatwidth*2-1 downto 0);
273
                normfifo_q : out std_logic_vector(floatwidth*3-1 downto 0)
274 151 jguarin200
        );
275
        end component;
276
        --! Bloque decodificacion DataPath Control.
277
        component dpc
278
        port (
279
                clk,rst                                 : in    std_logic;
280 158 jguarin200
                paraminput                              : in    vectorblock12;  --! Vectores A,B,C,D
281
                prd32blko                               : in    vectorblock06;  --! Salidas de los 6 multiplicadores.
282
                add32blko                               : in    vectorblock04;  --! Salidas de los 4 sumadores.
283 152 jguarin200
                sqr32blko,inv32blko             : in    std_logic_vector (floatwidth-1 downto 0);                --! Salidas de la raiz cuadradas y el inversor.
284
                fifo32x23_q                             : in    std_logic_vector (03*floatwidth-1 downto 0);             --! Salida de la cola intermedia.
285
                fifo32x09_q                             : in    std_logic_vector (02*floatwidth-1 downto 0);     --! Salida de las colas de producto punto. 
286 151 jguarin200
                unary,crossprod,addsub  : in    std_logic;                                                                      --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
287 152 jguarin200
                sync_chain_0                    : in    std_logic;                                                                      --! Señal de dato valido que se va por toda la cadena de sincronizacion.
288
                eoi_int                                 : in    std_logic;                                                                      --! Señal de interrupción de final de instrucci&ocaute;n.
289
                eoi_demuxed_int                 : out   std_logic_vector (3 downto 0);                           --! Señal de interrup&ocaute;n de final de instrucción pero esta vez va asociada a la instruccón UCA.
290
                sqr32blki,inv32blki             : out   std_logic_vector (floatwidth-1 downto 0);                --! Salidas de las 2 raices cuadradas y los 2 inversores.
291
                fifo32x26_d                             : out   std_logic_vector (03*floatwidth-1 downto 0);             --! Entrada a la cola intermedia para la normalización.
292
                fifo32x09_d                             : out   std_logic_vector (02*floatwidth-1 downto 0);             --! Entrada a las colas intermedias del producto punto.         
293 158 jguarin200
                prd32blki                               : out   vectorblock12;  --! Entrada de los 12 factores en el bloque de multiplicación respectivamente.
294
                add32blki                               : out   vectorblock08;  --! Entrada de los 8 sumandos del bloque de 4 sumadores.  
295 151 jguarin200
                resw                                    : out   std_logic_vector (4 downto 0);                           --! Salidas de escritura y lectura en las colas de resultados.
296
                fifo32x09_w                             : out   std_logic;
297
                fifo32x23_w,fifo32x09_r : out   std_logic;
298
                fifo32x23_r                             : out   std_logic;
299
                resf_vector                             : in    std_logic_vector(3 downto 0);                            --! Entradas de la señal de full de las colas de resultados. 
300
                resf_event                              : out   std_logic;                                                                      --! Salida decodificada que indica que la cola de resultados de la operación que está en curso.
301 158 jguarin200
                resultoutput                    : out   vectorblock08   --! 8 salidas de resultados, pues lo máximo que podrá calcularse por cada clock son 2 vectores.
302 151 jguarin200
        );
303
        end component;
304
        --! Bloque Aritmetico de Sumadores y Multiplicadores (madd)
305
        component arithblock
306
        port (
307
 
308
                clk     : in std_logic;
309
                rst : in std_logic;
310
 
311
                dpc : in std_logic;
312
 
313 158 jguarin200
                f       : in vectorblock12;
314
                a       : in vectorblock08;
315 151 jguarin200
 
316 158 jguarin200
                s       : out vectorblock04;
317
                p       : out vectorblock06
318 151 jguarin200
 
319
        );
320
        end component;
321
        --! Bloque de Raiz Cuadrada
322
        component sqrt32
323
        port (
324
 
325
                clk     : in std_logic;
326 158 jguarin200
                rd32: in xfloat32;
327
                sq32: out xfloat32
328 151 jguarin200
        );
329
        end component;
330
        --! Bloque de Inversores.
331
        component invr32
332
        port (
333
 
334
                clk             : in std_logic;
335 158 jguarin200
                dvd32   : in xfloat32;
336
                qout32  : out xfloat32
337 151 jguarin200
        );
338
        end component;
339 153 jguarin200
 
340
 
341
 
342
 
343
        type apCamera is record
344
                resx,resy : integer;
345
                width,height : real;
346
                dist : real;
347
        end record;
348
 
349
        --! Función que convierte un std_logic_vector en un numero entero
350
        function ap_slv2int(sl:std_logic_vector) return integer;
351
 
352
        --! Función que convierte un número flotante IEE754 single float, en un número std_logic_vector.
353
        function ap_fp2slv (f:real) return std_logic_vector;
354
 
355
        --! Función que convierte un número std_logic_vector en un ieee754 single float.
356
        function ap_slv2fp (sl:std_logic_vector) return real;
357
 
358
        --! Función que devuelve un vector en punto flotante IEEE754 a través de un   
359
        function ap_slv_calc_xyvec (x,y:integer; cam:apCamera) return v3f;
360
 
361 156 jguarin200
        --! Función que devuelve una cadena con el número flotante IEEE 754 ó a una cadena de cifras hexadecimales.
362 160 jguarin200
        procedure ap_slvf2string(l:inout line;sl:std_logic_vector);
363
        procedure ap_slv2hex (l:inout line;h:in std_logic_vector) ;
364 156 jguarin200
        --! Función que devuelve una cadena con el estado de macState.
365 160 jguarin200
        procedure ap_macState2string(l:inout line;s:in macState);
366 153 jguarin200
 
367 156 jguarin200
        --! Función que convierte un array de 2 std_logic_vectors que contienen un par de direcciones en string
368 160 jguarin200
        procedure ap_vnadd022string(l:inout line; va2:in vectorblockadd02);
369 153 jguarin200
 
370 156 jguarin200
        --! Función que devuelve una cadena de caracteres con el estado de la maquina de estados que controla las interrupciones
371 160 jguarin200
        procedure ap_iCtrlState2string(l:inout line;i:in iCtrlState) ;
372 156 jguarin200
 
373
        --! Función que devuelve una cadena con los componentes de un vector R3 en punto flotante IEEE754        
374 160 jguarin200
        procedure ap_v3f2string(l:inout line;v:in v3f);
375 157 jguarin200
 
376
        --! Función que formatea una instrucción
377 161 jguarin200
        function ap_format_instruction(i:string;ac_o,ac_f,bd_o,bd_f:std_logic_vector;comb:std_logic) return std_logic_vector;
378 157 jguarin200
 
379
        --! Función que devuelve una cadena de caracteres de un solo caracter con el valor de un bit std_logic
380 160 jguarin200
        procedure ap_sl2string(l:inout line;s:std_logic);
381
 
382
        --! Procedure
383
        procedure ap_xfp122string(l:inout line;vb12:in vectorblock12);
384
        procedure ap_xfp082string(l:inout line;vb08:in vectorblock08);
385
        procedure ap_xfp062string(l:inout line;vb06:in vectorblock06);
386
        procedure ap_xfp042string(l:inout line;vb04:in vectorblock04);
387 156 jguarin200
 
388 151 jguarin200
end package;
389 153 jguarin200
 
390
 
391
package body arithpack is
392 160 jguarin200
 
393
        procedure ap_xfp122string(l:inout line; vb12:in vectorblock12) is
394 153 jguarin200
 
395 160 jguarin200
        begin
396
                write(l,string'("<< "));
397
                for i in 11 downto 0 loop
398
                        write(l,string'(integer'image(i)));
399
                        write(l,string'(" "));
400
                        ap_slvf2string(l,vb12(i));
401
                end loop;
402
                write(l,string'(" >>"));
403
        end procedure;
404
 
405
        procedure ap_xfp082string(l:inout line; vb08:in vectorblock08) is
406
 
407
        begin
408
                write(l,string'("<< "));
409
                for i in 07 downto 0 loop
410
                        write(l,string'(" ["&integer'image(i)&"]"));
411
                        write(l,string'(" "));
412
                        ap_slvf2string(l,vb08(i));
413
                end loop;
414
                write(l,string'(" >>"));
415
        end procedure;
416
 
417
        procedure ap_xfp062string(l:inout line; vb06:in vectorblock06) is
418
 
419
        begin
420
                write(l,string'("<< "));
421
                for i in 05 downto 0 loop
422
                        write(l,string'(integer'image(i)));
423
                        write(l,string'(" "));
424
                        ap_slvf2string(l,vb06(i));
425
                end loop;
426
                write(l,string'(" >>"));
427
        end procedure;
428
 
429
        procedure ap_xfp042string(l:inout line; vb04:in vectorblock04) is
430
 
431
        begin
432
                write(l,string'("<< "));
433
                for i in 03 downto 0 loop
434
                        write(l,string'(integer'image(i)));
435
                        write(l,string'(" "));
436
                        ap_slvf2string(l,vb04(i));
437
                end loop;
438
                write(l,string'(" >>"));
439
        end procedure;
440
 
441
 
442
        procedure ap_sl2string(l:inout line; s:in std_logic)is
443 157 jguarin200
                variable tmp:string(1 to 1);
444
        begin
445
 
446
                case s is
447
                        when '1' =>
448
                                tmp:="1";
449
                        when '0' =>
450
                                tmp:="0";
451
                        when 'U' =>
452
                                tmp:="U";
453
                        when 'X' =>
454
                                tmp:="X";
455
                        when 'Z' =>
456
                                tmp:="Z";
457
                        when 'W' =>
458
                                tmp:="W";
459
                        when 'L' =>
460
                                tmp:="L";
461
                        when 'H' =>
462
                                tmp:="H";
463
                        when others =>
464
                                tmp:="-"; -- Don't care
465
                end case;
466 160 jguarin200
                write(l,string'("<< "));
467
                write(l,string'(tmp));
468
                write(l,string'(" >>"));
469 157 jguarin200
 
470 160 jguarin200
 
471
 
472
        end procedure;
473 157 jguarin200
 
474 161 jguarin200
        function ap_format_instruction(i:string;ac_o,ac_f,bd_o,bd_f:std_logic_vector;comb:std_logic) return std_logic_vector is
475 157 jguarin200
 
476
                alias aco : std_logic_vector (4 downto 0) is ac_o;
477
                alias acf : std_logic_vector (4 downto 0) is ac_f;
478
                alias bdo : std_logic_vector (4 downto 0) is bd_o;
479
                alias bdf : std_logic_vector (4 downto 0) is bd_f;
480
                variable ins : std_logic_vector (31 downto 0);
481
                alias it : string (1 to 3) is i;
482
        begin
483
 
484
                case it is
485
                        when "mag" =>
486
                                ins(31 downto 29) := "100";
487 160 jguarin200
                                ins(04 downto 00) := '1'&x"8";
488 157 jguarin200
                        when "nrm" =>
489 163 jguarin200
                                ins(31 downto 29) := "110";
490 160 jguarin200
                                ins(04 downto 00) := '1'&x"d";
491 157 jguarin200
                        when "add" =>
492
                                ins(31 downto 29) := "001";
493 160 jguarin200
                                ins(04 downto 00) := '0'&x"a";
494 157 jguarin200
                        when "sub" =>
495
                                ins(31 downto 29) := "011";
496 160 jguarin200
                                ins(04 downto 00) := '0'&x"a";
497 157 jguarin200
                        when "dot" =>
498
                                ins(31 downto 29) := "000";
499 160 jguarin200
                                ins(04 downto 00) := '1'&x"7";
500 157 jguarin200
                        when "crs" =>
501
                                ins(31 downto 29) := "010";
502 160 jguarin200
                                ins(04 downto 00) := '0'&x"e";
503 157 jguarin200
                        when others =>
504
                                ins(31 downto 29) := "111";
505 160 jguarin200
                                ins(04 downto 00) := '0'&x"5";
506 157 jguarin200
                end case;
507
                ins(28 downto 24) := aco;
508
                ins(23 downto 19) := acf;
509
                ins(18 downto 14) := bdo;
510
                ins(13 downto 09) := bdf;
511
                ins(08) := comb;
512
                ins(07 downto 05) := "000";
513
                return ins;
514
 
515
 
516
        end function;
517
 
518
 
519
 
520 160 jguarin200
        procedure ap_v3f2string(l:inout line;v:in v3f) is
521
 
522 155 jguarin200
        begin
523 160 jguarin200
                write(l,string'("<< "));
524
                for i in 02 downto 0 loop
525
                        write(l,string'(integer'image(i)));
526
                        write(l,string'(" "));
527
                        ap_slvf2string(l,v(i));
528
                end loop;
529
                write(l,string'(" >>"));
530 155 jguarin200
 
531 160 jguarin200
        end procedure;
532
 
533
        procedure ap_iCtrlState2string(l:inout line;i:in iCtrlState) is
534 158 jguarin200
                variable tmp:string (1 to 9);
535 156 jguarin200
        begin
536 160 jguarin200
 
537
                write(l,string'("<< "));
538 156 jguarin200
                case i is
539
                        when WAITING_FOR_AN_EVENT =>
540
                                tmp:="WAIT_EVNT";
541
                        when FIRING_INTERRUPTIONS =>
542
                                tmp:="FIRE_INTx";
543
                        when SUSPEND =>
544
                                tmp:="SUSPENDED";
545
                        when others =>
546 158 jguarin200
                                tmp:="ILGL__VAL";
547 156 jguarin200
                end case;
548 160 jguarin200
                write(l,string'(tmp));
549
                write(l,string'(" >>"));
550 156 jguarin200
 
551 160 jguarin200
        end procedure;
552 156 jguarin200
 
553 160 jguarin200
        procedure ap_vnadd022string(l:inout line;va2:in vectorblockadd02) is
554 156 jguarin200
        begin
555 160 jguarin200
 
556
                write(l,string'("<<[1] "));
557
                ap_slv2hex(l,va2(1));
558
                write(l,string'(" [0] "));
559
                ap_slv2hex(l,va2(0));
560
                write(l,string'(" >>"));
561
 
562
        end procedure;
563 156 jguarin200
 
564 160 jguarin200
        procedure ap_macState2string(l:inout line;s:in macState) is
565 158 jguarin200
                variable tmp:string (1 to 6);
566 156 jguarin200
        begin
567 160 jguarin200
 
568
                write(l,string'("<< "));
569 156 jguarin200
                case s is
570
                        when LOAD_INSTRUCTION =>
571
                                tmp:="LD_INS";
572
                        when FLUSH_ARITH_PIPELINE =>
573
                                tmp:="FL_ARP";
574
                        when EXECUTE_INSTRUCTION =>
575
                                tmp:="EX_INS";
576
                        when others =>
577 158 jguarin200
                                tmp:="HEL_ON";
578 156 jguarin200
                end case;
579 160 jguarin200
                write(l,string'(tmp));
580
                write(l,string'(" >>"));
581
 
582
        end procedure;
583 156 jguarin200
 
584
        constant hexchars : string (1 to 16) := "0123456789ABCDEF";
585 160 jguarin200
        procedure ap_slv2hex (l:inout line;h:in std_logic_vector) is
586
                variable index_high,index_low,highone,nc : integer;
587
        begin
588
                highone := h'high-h'low;
589
                nc:=0;
590
                for i in h'high downto h'low loop
591
                        if h(i)/='0' and h(i)/='1' then
592
                                nc:=1;
593
                        end if;
594 156 jguarin200
                end loop;
595 160 jguarin200
 
596
                if nc=1 then
597
                        for i in h'high downto h'low loop
598
                                ap_sl2string(l,h(i));
599
                        end loop;
600
                else
601
                        for i in (highone)/4 downto 0 loop
602
                                index_low:=i*4;
603
                                if (index_low+3)>highone then
604
                                        index_high := highone;
605
                                else
606
                                        index_high := i*4+3;
607
                                end if;
608
                                write(l,hexchars(1+ieee.std_logic_unsigned.conv_integer(h(index_high+h'low downto index_low+h'low))));
609
                        end loop;
610
                end if;
611
        end procedure;
612
 
613 153 jguarin200
        function ap_slv2int (sl:std_logic_vector) return integer is
614
                alias s : std_logic_vector (sl'high downto sl'low) is sl;
615
                variable i : integer;
616
        begin
617
                i:=0;
618
                for index in s'high downto s'low loop
619
                        if s(index)='1' then
620
                                i:=i*2+1;
621
                        else
622
                                i:=i*2;
623
                        end if;
624
                end loop;
625
                return i;
626
 
627
        end function;
628
        function ap_fp2slv (f:real) return std_logic_vector is
629
                variable faux : real;
630
                variable sef : std_logic_vector (31 downto 0);
631
        begin
632
                --! Signo
633
                if (f<0.0) then
634
                        sef(31) := '1';
635 160 jguarin200
                        faux:=f*(-1.0);
636 153 jguarin200
                else
637
                        sef(31) := '0';
638 160 jguarin200
                        faux:=f;
639 153 jguarin200
                end if;
640
 
641
                --! Exponente
642 160 jguarin200
                sef(30 downto 23) := conv_std_logic_vector(127+integer(floor(log(faux,2.0))),8);
643 153 jguarin200
 
644
                --! Fraction
645 160 jguarin200
                faux :=faux/(2.0**real(floor(log(faux,2.0))));
646 153 jguarin200
                faux := faux - 1.0;
647
 
648 160 jguarin200
                sef(22 downto 0)  := conv_std_logic_vector(integer(faux*(2.0**23.0)),23);
649 153 jguarin200
 
650
                return sef;
651
 
652
        end function;
653
 
654
        function ap_slv2fp(sl:std_logic_vector) return real is
655 160 jguarin200
                variable frc:integer;
656 153 jguarin200
                alias s: std_logic_vector(31 downto 0) is sl;
657 160 jguarin200
                variable f,expo: real;
658 153 jguarin200
 
659
        begin
660
 
661
 
662 160 jguarin200
                expo:=real(ap_slv2int(s(30 downto 23)) - 127);
663
                expo:=(2.0)**(expo);
664 153 jguarin200
                frc:=ap_slv2int('1'&s(22 downto 0));
665
                f:=real(frc)*(2.0**(-23.0));
666
                f:=f*real(expo);
667
 
668
                if s(31)='1' then
669
                        return -f;
670
                else
671
                        return f;
672 160 jguarin200
                end if;
673 153 jguarin200
 
674 160 jguarin200
 
675 153 jguarin200
 
676 160 jguarin200
 
677 153 jguarin200
        end function;
678
 
679
        function ap_slv_calc_xyvec (x,y:integer; cam:apCamera) return v3f is
680
 
681
 
682
                variable dx,dy : real;
683
                variable v : v3f;
684
        begin
685
 
686
                dx := cam.width/real(cam.resx);
687
                dy := cam.height/real(cam.resy);
688
 
689 160 jguarin200
                --! Eje Z: Tomando el dedo &iacute;ndice de la mano derecha, este eje queda apuntando en la direcci&on en la que mira la c&aacute;mara u observador siempre.
690 153 jguarin200
                v(0):=ap_fp2slv(cam.dist);
691
 
692 160 jguarin200
                --! Eje X: Tomando el dedo coraz&oacute;n de la mano derecha, este eje queda apuntando a la izquierda del observador, desde el observador.
693
                v(2):=ap_fp2slv(dx*real(cam.resx)*0.5-real(x)*dx-dx*0.5);
694 153 jguarin200
 
695 160 jguarin200
                --! Eje Y: Tomando el dedo pulgar de la mano derecha, este eje queda apuntando hacia arriba del observador, desde el observador.
696
                v(1):=ap_fp2slv(dy*real(cam.resy)*0.5-real(y)*dy-dy*0.5);
697 153 jguarin200
 
698
                return v;
699
 
700
        end function;
701 155 jguarin200
 
702 160 jguarin200
        procedure ap_slvf2string(l:inout line;sl:std_logic_vector) is
703 155 jguarin200
                alias f: std_logic_vector(31 downto 0) is sl;
704
                variable r: real;
705
 
706
        begin
707
 
708
                r:=ap_slv2fp(f);
709 160 jguarin200
                write(l,string'(real'image(r)));
710
                write(l,string'(" [ s:"));
711
                ap_slv2hex(l,f(31 downto 31));
712
                write(l,string'(" f: "));
713
                ap_slv2hex(l,f(30 downto 23));
714
                write(l,string'(" m: "));
715
                ap_slv2hex(l,f(22 downto 00));
716
                write(l,string'(" ]"));
717 155 jguarin200
 
718 160 jguarin200
        end procedure;
719 155 jguarin200
 
720
 
721
 
722 153 jguarin200
 
723
end package body;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.