OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [arithpack.vhd] - Blame information for rev 171

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 151 jguarin200
library ieee;
2
use ieee.std_logic_1164.all;
3 153 jguarin200
use ieee.std_logic_arith.all;
4
use ieee.math_real.all;
5 151 jguarin200
 
6 153 jguarin200
library std;
7
use std.textio.all;
8
 
9 159 jguarin200
 
10
 
11 151 jguarin200
--! Memory Compiler Library
12 159 jguarin200
library altera_mf;
13
use altera_mf.all;
14 151 jguarin200
library lpm;
15
use lpm.all;
16
 
17
 
18
 
19
package arithpack is
20
        --! Estados para la maquina de estados.
21
        type macState is (LOAD_INSTRUCTION,FLUSH_ARITH_PIPELINE,EXECUTE_INSTRUCTION);
22
        --! Estados para el controlador de interrupciones.
23
        type iCtrlState is (WAITING_FOR_AN_EVENT,FIRING_INTERRUPTIONS,SUSPEND);
24 152 jguarin200
 
25
        --! Float data blocks
26
        constant floatwidth : integer := 32;
27
        constant widthadmemblock : integer := 9;
28
 
29 156 jguarin200
 
30
        subtype xfloat32 is std_logic_vector(31 downto 0);
31
        type    v3f     is array(02 downto 0) of xfloat32;
32
 
33
        --! Constantes para definir 
34
 
35
        --!type vectorblock12 is array (11 downto 0) of std_logic_vector(floatwidth-1 downto 0);
36
        type    vectorblock12 is array (11 downto 0) of xfloat32;
37
 
38
        type    vectorblock08 is array (07 downto 0) of xfloat32;
39 152 jguarin200
        type    vectorblock06 is array (05 downto 0) of std_logic_vector(floatwidth-1 downto 0);
40
        type    vectorblock04 is array (03 downto 0) of std_logic_vector(floatwidth-1 downto 0);
41
        type    vectorblock03 is array (02 downto 0) of std_logic_vector(floatwidth-1 downto 0);
42
        type    vectorblock02 is array (01 downto 0) of std_logic_vector(floatwidth-1 downto 0);
43
        type    vectorblockadd02 is array (01 downto 0) of std_logic_vector(widthadmemblock-1 downto 0);
44
 
45 151 jguarin200
        --! Constante de reseteo
46
        constant rstMasterValue : std_logic :='0';
47 168 jguarin200
 
48 151 jguarin200
        --! Constantes periodicas.
49
        constant tclk   : time := 20 ns;
50
        constant tclk_2 : time := tclk/2;
51
        constant tclk_4 : time := tclk/4;
52
 
53 152 jguarin200
 
54
        component raytrac
55
        port (
56
 
57
                clk : in std_logic;
58
                rst : in std_logic;
59
 
60
                --! Señal de lectura de alguna de las colas de resultados.
61
                rd      : in std_logic;
62
 
63
                --! Señal de escritura en alguno de los bloques de memoria de operandos o en la cola de instrucciones.
64
                wr      : in std_logic;
65
 
66
                --! Direccion de escritura o lectura
67
                add : in std_logic_vector (12 downto 0);
68
 
69
                --! datos de entrada
70
                d       : in std_logic_vector (31 downto 0);
71
 
72
                --! Interrupciones
73
                int     : out std_logic_vector (7 downto 0);
74
 
75
                --! Salidas
76
                q : out std_logic_vector (31 downto 0)
77
 
78
 
79
 
80
        );
81
        end component;
82
 
83
        --! Componentes Aritméticos
84
 
85
        component fadd32
86
        port (
87
                clk : in std_logic;
88
                dpc : in std_logic;
89 158 jguarin200
                a32 : in xfloat32;
90
                b32 : in xfloat32;
91
                c32 : out xfloat32
92 152 jguarin200
        );
93
        end component;
94
        component fmul32
95
        port (
96
                clk : in std_logic;
97 158 jguarin200
                a32 : in xfloat32;
98
                b32 : in xfloat32;
99
                p32 : out xfloat32
100 152 jguarin200
        );
101
        end component;
102
 
103
 
104
        --! Contadores para la máquina de estados.
105
 
106 151 jguarin200
        component customCounter
107
        generic (
108
                EOBFLAG         : string ;
109
                ZEROFLAG        : string ;
110
                BACKWARDS       : string ;
111
                EQUALFLAG       : string ;
112
                subwidth        : integer;
113
                width           : integer
114
 
115
        );
116
        port (
117
                clk,rst,go,set  : in std_logic;
118
                setValue,cmpBlockValue          : in std_Logic_vector(width-1 downto subwidth);
119
                zero_flag,eob_flag,eq_flag      : out std_logic;
120
                count                   : out std_logic_vector(width-1 downto 0)
121
        );
122
        end component;
123
 
124 155 jguarin200
        --! LPM_MULTIPLIER
125
        component lpm_mult
126
        generic (
127
                lpm_hint                        : string;
128
                lpm_pipeline            : natural;
129
                lpm_representation      : string;
130
                lpm_type                        : string;
131
                lpm_widtha                      : natural;
132
                lpm_widthb                      : natural;
133
                lpm_widthp                      : natural
134
        );
135
        port (
136
                dataa   : in std_logic_vector ( lpm_widtha-1 downto 0 );
137
                datab   : in std_logic_vector ( lpm_widthb-1 downto 0 );
138
                result  : out std_logic_vector( lpm_widthp-1 downto 0 )
139
        );
140
        end component;
141 151 jguarin200
        --! LPM Memory Compiler.
142
        component scfifo
143
        generic (
144
                add_ram_output_register :string;
145
                almost_full_value               :natural;
146 159 jguarin200
                allow_rwcycle_when_full :string;
147 151 jguarin200
                intended_device_family  :string;
148
                lpm_hint                                :string;
149
                lpm_numwords                    :natural;
150
                lpm_showahead                   :string;
151
                lpm_type                                :string;
152
                lpm_width                               :natural;
153
                lpm_widthu                              :natural;
154
                overflow_checking               :string;
155
                underflow_checking              :string;
156
                use_eab                                 :string
157
        );
158
        port(
159
                rdreq           : in std_logic;
160
                aclr            : in std_logic;
161
                empty           : out std_logic;
162
                clock           : in std_logic;
163
                q                       : out std_logic_vector(lpm_width-1 downto 0);
164
                wrreq           : in std_logic;
165
                data            : in std_logic_vector(lpm_width-1 downto 0);
166
                almost_full : out std_logic;
167
                full            : out std_logic
168
        );
169
        end component;
170
 
171
 
172
        component altsyncram
173
        generic (
174
                address_aclr_b                  : string;
175
                address_reg_b                   : string;
176
                clock_enable_input_a    : string;
177
                clock_enable_input_b    : string;
178
                clock_enable_output_b   : string;
179
                intended_device_family  : string;
180
                lpm_type                                : string;
181
                numwords_a                              : natural;
182
                numwords_b                              : natural;
183
                operation_mode                  : string;
184
                outdata_aclr_b                  : string;
185
                outdata_reg_b                   : string;
186
                power_up_uninitialized  : string;
187
                ram_block_type                  : string;
188
                rdcontrol_reg_b                 : string;
189
                read_during_write_mode_mixed_ports      : string;
190
                widthad_a                               : natural;
191
                widthad_b                               : natural;
192
                width_a                                 : natural;
193
                width_b                                 : natural;
194
                width_byteena_a                 : natural
195
        );
196
        port (
197
                wren_a          : in std_logic;
198
                clock0          : in std_logic;
199
                address_a       : in std_logic_vector(8 downto 0);
200
                address_b       : in std_logic_vector(8 downto 0);
201
                rden_b          : in std_logic;
202
                q_b                     : out std_logic_vector(31 downto 0);
203
                data_a          : in std_logic_vector(31 downto 0)
204
 
205
        );
206
        end component;
207
 
208
        --! Maquina de Estados.
209
        component sm
210 152 jguarin200
 
211 151 jguarin200
        port (
212
 
213
                --! Señales normales de secuencia.
214
                clk,rst:                        in std_logic;
215 152 jguarin200
                --! Vector con las instrucción codficada
216 151 jguarin200
                instrQq:in std_logic_vector(31 downto 0);
217 152 jguarin200
                --! Señal de cola vacia.
218 151 jguarin200
                instrQ_empty:in std_logic;
219
                adda,addb:out std_logic_vector (8 downto 0);
220
                sync_chain_0,instrRdAckd:out std_logic;
221
                full_r:         in std_logic;   --! Indica que la cola de resultados no puede aceptar mas de 32 elementos.
222
                --! End Of Instruction Event
223
                eoi     : out std_logic;
224
 
225
                --! DataPath Control uca code.
226
                dpc_uca : out std_logic_vector (2 downto 0);
227
                state   : out macState
228
        );
229
        end component;
230
        --! Maquina de Interrupciones
231
        component im
232
        generic (
233
                num_events : integer ;
234
                cycles_to_wait : integer
235
        );
236
        port (
237
                clk,rst:                in std_logic;
238
                rfull_events:   in std_logic_vector(num_events-1 downto 0);      --! full results queue events
239
                eoi_events:             in std_logic_vector(num_events-1 downto 0);      --! end of instruction related events
240
                eoi_int:                out std_logic_vector(num_events-1 downto 0);--! end of instruction related interruptions
241
                rfull_int:              out std_logic_vector(num_events-1downto 0);      --! full results queue related interruptions
242
                state:                  out iCtrlState
243
        );
244
        end component;
245
        --! Bloque de memorias
246
        component memblock
247
        generic (
248
                blocksize                                       : integer;
249
                external_readable_widthad       : integer;
250
                external_writeable_widthad      : integer
251
        );
252
        port (
253
 
254
 
255
                clk,rst,dpfifo_rd,normfifo_rd,dpfifo_wr,normfifo_wr : in std_logic;
256
                instrfifo_rd : in std_logic;
257 158 jguarin200
                resultfifo_wr: in std_logic_vector(8-1 downto 0);
258 151 jguarin200
                instrfifo_empty: out std_logic; ext_rd,ext_wr: in std_logic;
259
                ext_wr_add : in std_logic_vector(external_writeable_widthad+widthadmemblock-1 downto 0);
260
                ext_rd_add : in std_logic_vector(external_readable_widthad-1 downto 0);
261 152 jguarin200
                ext_d: in std_logic_vector(floatwidth-1 downto 0);
262 158 jguarin200
                int_d : in vectorblock08;
263 151 jguarin200
                resultfifo_full  : out std_logic_vector(3 downto 0);
264 152 jguarin200
                ext_q,instrfifo_q : out std_logic_vector(floatwidth-1 downto 0);
265 158 jguarin200
                int_q : out vectorblock12;
266 151 jguarin200
                int_rd_add : in std_logic_vector(2*widthadmemblock-1 downto 0);
267 152 jguarin200
                dpfifo_d : in std_logic_vector(floatwidth*2-1 downto 0);
268
                normfifo_d : in std_logic_vector(floatwidth*3-1 downto 0);
269
                dpfifo_q : out std_logic_vector(floatwidth*2-1 downto 0);
270
                normfifo_q : out std_logic_vector(floatwidth*3-1 downto 0)
271 151 jguarin200
        );
272
        end component;
273
        --! Bloque decodificacion DataPath Control.
274
        component dpc
275
        port (
276
                clk,rst                                 : in    std_logic;
277 158 jguarin200
                paraminput                              : in    vectorblock12;  --! Vectores A,B,C,D
278
                prd32blko                               : in    vectorblock06;  --! Salidas de los 6 multiplicadores.
279
                add32blko                               : in    vectorblock04;  --! Salidas de los 4 sumadores.
280 152 jguarin200
                sqr32blko,inv32blko             : in    std_logic_vector (floatwidth-1 downto 0);                --! Salidas de la raiz cuadradas y el inversor.
281
                fifo32x23_q                             : in    std_logic_vector (03*floatwidth-1 downto 0);             --! Salida de la cola intermedia.
282
                fifo32x09_q                             : in    std_logic_vector (02*floatwidth-1 downto 0);     --! Salida de las colas de producto punto. 
283 151 jguarin200
                unary,crossprod,addsub  : in    std_logic;                                                                      --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
284 152 jguarin200
                sync_chain_0                    : in    std_logic;                                                                      --! Señal de dato valido que se va por toda la cadena de sincronizacion.
285
                eoi_int                                 : in    std_logic;                                                                      --! Señal de interrupción de final de instrucci&ocaute;n.
286
                eoi_demuxed_int                 : out   std_logic_vector (3 downto 0);                           --! Señal de interrup&ocaute;n de final de instrucción pero esta vez va asociada a la instruccón UCA.
287
                sqr32blki,inv32blki             : out   std_logic_vector (floatwidth-1 downto 0);                --! Salidas de las 2 raices cuadradas y los 2 inversores.
288
                fifo32x26_d                             : out   std_logic_vector (03*floatwidth-1 downto 0);             --! Entrada a la cola intermedia para la normalización.
289
                fifo32x09_d                             : out   std_logic_vector (02*floatwidth-1 downto 0);             --! Entrada a las colas intermedias del producto punto.         
290 158 jguarin200
                prd32blki                               : out   vectorblock12;  --! Entrada de los 12 factores en el bloque de multiplicación respectivamente.
291
                add32blki                               : out   vectorblock08;  --! Entrada de los 8 sumandos del bloque de 4 sumadores.  
292 151 jguarin200
                resw                                    : out   std_logic_vector (4 downto 0);                           --! Salidas de escritura y lectura en las colas de resultados.
293
                fifo32x09_w                             : out   std_logic;
294
                fifo32x23_w,fifo32x09_r : out   std_logic;
295
                fifo32x23_r                             : out   std_logic;
296
                resf_vector                             : in    std_logic_vector(3 downto 0);                            --! Entradas de la señal de full de las colas de resultados. 
297
                resf_event                              : out   std_logic;                                                                      --! Salida decodificada que indica que la cola de resultados de la operación que está en curso.
298 158 jguarin200
                resultoutput                    : out   vectorblock08   --! 8 salidas de resultados, pues lo máximo que podrá calcularse por cada clock son 2 vectores.
299 151 jguarin200
        );
300
        end component;
301
        --! Bloque Aritmetico de Sumadores y Multiplicadores (madd)
302
        component arithblock
303
        port (
304
 
305
                clk     : in std_logic;
306
                rst : in std_logic;
307
 
308
                dpc : in std_logic;
309
 
310 158 jguarin200
                f       : in vectorblock12;
311
                a       : in vectorblock08;
312 151 jguarin200
 
313 158 jguarin200
                s       : out vectorblock04;
314
                p       : out vectorblock06
315 151 jguarin200
 
316
        );
317
        end component;
318
        --! Bloque de Raiz Cuadrada
319
        component sqrt32
320
        port (
321
 
322
                clk     : in std_logic;
323 158 jguarin200
                rd32: in xfloat32;
324
                sq32: out xfloat32
325 151 jguarin200
        );
326
        end component;
327
        --! Bloque de Inversores.
328
        component invr32
329
        port (
330
 
331
                clk             : in std_logic;
332 158 jguarin200
                dvd32   : in xfloat32;
333
                qout32  : out xfloat32
334 151 jguarin200
        );
335
        end component;
336 153 jguarin200
 
337
 
338
 
339
 
340
        type apCamera is record
341
                resx,resy : integer;
342
                width,height : real;
343
                dist : real;
344
        end record;
345
 
346
        --! Función que convierte un std_logic_vector en un numero entero
347
        function ap_slv2int(sl:std_logic_vector) return integer;
348
 
349
        --! Función que convierte un número flotante IEE754 single float, en un número std_logic_vector.
350
        function ap_fp2slv (f:real) return std_logic_vector;
351
 
352
        --! Función que convierte un número std_logic_vector en un ieee754 single float.
353
        function ap_slv2fp (sl:std_logic_vector) return real;
354
 
355
        --! Función que devuelve un vector en punto flotante IEEE754 a través de un   
356
        function ap_slv_calc_xyvec (x,y:integer; cam:apCamera) return v3f;
357
 
358 156 jguarin200
        --! Función que devuelve una cadena con el número flotante IEEE 754 ó a una cadena de cifras hexadecimales.
359 160 jguarin200
        procedure ap_slvf2string(l:inout line;sl:std_logic_vector);
360
        procedure ap_slv2hex (l:inout line;h:in std_logic_vector) ;
361 156 jguarin200
        --! Función que devuelve una cadena con el estado de macState.
362 160 jguarin200
        procedure ap_macState2string(l:inout line;s:in macState);
363 153 jguarin200
 
364 156 jguarin200
        --! Función que convierte un array de 2 std_logic_vectors que contienen un par de direcciones en string
365 160 jguarin200
        procedure ap_vnadd022string(l:inout line; va2:in vectorblockadd02);
366 153 jguarin200
 
367 156 jguarin200
        --! Función que devuelve una cadena de caracteres con el estado de la maquina de estados que controla las interrupciones
368 160 jguarin200
        procedure ap_iCtrlState2string(l:inout line;i:in iCtrlState) ;
369 156 jguarin200
 
370
        --! Función que devuelve una cadena con los componentes de un vector R3 en punto flotante IEEE754        
371 160 jguarin200
        procedure ap_v3f2string(l:inout line;v:in v3f);
372 157 jguarin200
 
373
        --! Función que formatea una instrucción
374 161 jguarin200
        function ap_format_instruction(i:string;ac_o,ac_f,bd_o,bd_f:std_logic_vector;comb:std_logic) return std_logic_vector;
375 157 jguarin200
 
376
        --! Función que devuelve una cadena de caracteres de un solo caracter con el valor de un bit std_logic
377 160 jguarin200
        procedure ap_sl2string(l:inout line;s:std_logic);
378
 
379 168 jguarin200
        --! Procedimiento para mostrar vectores en forma de arreglos de flotantes
380 160 jguarin200
        procedure ap_xfp122string(l:inout line;vb12:in vectorblock12);
381
        procedure ap_xfp082string(l:inout line;vb08:in vectorblock08);
382
        procedure ap_xfp062string(l:inout line;vb06:in vectorblock06);
383
        procedure ap_xfp042string(l:inout line;vb04:in vectorblock04);
384 168 jguarin200
        procedure ap_xfp022string(l:inout line;vb02:in vectorblock02);
385
 
386 156 jguarin200
 
387 151 jguarin200
end package;
388 153 jguarin200
 
389
 
390
package body arithpack is
391 160 jguarin200
 
392 168 jguarin200
        procedure ap_xfp022string(l:inout line; vb02:in vectorblock02) is
393
        begin
394
                for i in 01 downto 0 loop
395
                        write(l,string'(" ["&integer'image(i)&"]"));
396
                        write(l,string'(" "));
397
                        ap_slvf2string(l,vb02(i));
398
                end loop;
399
 
400
        end procedure;
401 160 jguarin200
        procedure ap_xfp122string(l:inout line; vb12:in vectorblock12) is
402 153 jguarin200
 
403 160 jguarin200
        begin
404
                for i in 11 downto 0 loop
405 168 jguarin200
                        write(l,string'(" ["&integer'image(i)&"]"));
406 160 jguarin200
                        write(l,string'(" "));
407
                        ap_slvf2string(l,vb12(i));
408
                end loop;
409
        end procedure;
410
 
411
        procedure ap_xfp082string(l:inout line; vb08:in vectorblock08) is
412
 
413
        begin
414
                for i in 07 downto 0 loop
415
                        write(l,string'(" ["&integer'image(i)&"]"));
416
                        write(l,string'(" "));
417
                        ap_slvf2string(l,vb08(i));
418
                end loop;
419
        end procedure;
420
 
421
        procedure ap_xfp062string(l:inout line; vb06:in vectorblock06) is
422
 
423
        begin
424
                for i in 05 downto 0 loop
425 168 jguarin200
                        write(l,string'(" ["&integer'image(i)&"]"));
426 160 jguarin200
                        write(l,string'(" "));
427
                        ap_slvf2string(l,vb06(i));
428
                end loop;
429
        end procedure;
430
 
431
        procedure ap_xfp042string(l:inout line; vb04:in vectorblock04) is
432
 
433
        begin
434
                for i in 03 downto 0 loop
435 168 jguarin200
                        write(l,string'(" ["&integer'image(i)&"]"));
436 160 jguarin200
                        write(l,string'(" "));
437
                        ap_slvf2string(l,vb04(i));
438
                end loop;
439
        end procedure;
440
 
441
 
442
        procedure ap_sl2string(l:inout line; s:in std_logic)is
443 157 jguarin200
                variable tmp:string(1 to 1);
444
        begin
445
 
446
                case s is
447
                        when '1' =>
448
                                tmp:="1";
449
                        when '0' =>
450
                                tmp:="0";
451
                        when 'U' =>
452
                                tmp:="U";
453
                        when 'X' =>
454
                                tmp:="X";
455
                        when 'Z' =>
456
                                tmp:="Z";
457
                        when 'W' =>
458
                                tmp:="W";
459
                        when 'L' =>
460
                                tmp:="L";
461
                        when 'H' =>
462
                                tmp:="H";
463
                        when others =>
464
                                tmp:="-"; -- Don't care
465
                end case;
466 168 jguarin200
                write(l,string'(" "));
467 160 jguarin200
                write(l,string'(tmp));
468 168 jguarin200
                write(l,string'(" "));
469 157 jguarin200
 
470 160 jguarin200
 
471
 
472
        end procedure;
473 157 jguarin200
 
474 161 jguarin200
        function ap_format_instruction(i:string;ac_o,ac_f,bd_o,bd_f:std_logic_vector;comb:std_logic) return std_logic_vector is
475 157 jguarin200
 
476
                alias aco : std_logic_vector (4 downto 0) is ac_o;
477
                alias acf : std_logic_vector (4 downto 0) is ac_f;
478
                alias bdo : std_logic_vector (4 downto 0) is bd_o;
479
                alias bdf : std_logic_vector (4 downto 0) is bd_f;
480
                variable ins : std_logic_vector (31 downto 0);
481
                alias it : string (1 to 3) is i;
482
        begin
483
 
484
                case it is
485
                        when "mag" =>
486
                                ins(31 downto 29) := "100";
487 160 jguarin200
                                ins(04 downto 00) := '1'&x"8";
488 157 jguarin200
                        when "nrm" =>
489 163 jguarin200
                                ins(31 downto 29) := "110";
490 160 jguarin200
                                ins(04 downto 00) := '1'&x"d";
491 157 jguarin200
                        when "add" =>
492
                                ins(31 downto 29) := "001";
493 160 jguarin200
                                ins(04 downto 00) := '0'&x"a";
494 157 jguarin200
                        when "sub" =>
495
                                ins(31 downto 29) := "011";
496 160 jguarin200
                                ins(04 downto 00) := '0'&x"a";
497 157 jguarin200
                        when "dot" =>
498
                                ins(31 downto 29) := "000";
499 160 jguarin200
                                ins(04 downto 00) := '1'&x"7";
500 157 jguarin200
                        when "crs" =>
501
                                ins(31 downto 29) := "010";
502 160 jguarin200
                                ins(04 downto 00) := '0'&x"e";
503 157 jguarin200
                        when others =>
504
                                ins(31 downto 29) := "111";
505 160 jguarin200
                                ins(04 downto 00) := '0'&x"5";
506 157 jguarin200
                end case;
507
                ins(28 downto 24) := aco;
508
                ins(23 downto 19) := acf;
509
                ins(18 downto 14) := bdo;
510
                ins(13 downto 09) := bdf;
511
                ins(08) := comb;
512
                ins(07 downto 05) := "000";
513
                return ins;
514
 
515
 
516
        end function;
517
 
518
 
519
 
520 160 jguarin200
        procedure ap_v3f2string(l:inout line;v:in v3f) is
521 155 jguarin200
        begin
522 168 jguarin200
                write(l,string'("[X]"));
523
                write(l,string'(" "));
524
                ap_slvf2string(l,v(2));
525
                write(l,string'("[Y]"));
526
                write(l,string'(" "));
527
                ap_slvf2string(l,v(1));
528
                write(l,string'("[Z]"));
529
                write(l,string'(" "));
530
                ap_slvf2string(l,v(0));
531 160 jguarin200
        end procedure;
532
 
533
        procedure ap_iCtrlState2string(l:inout line;i:in iCtrlState) is
534 158 jguarin200
                variable tmp:string (1 to 9);
535 156 jguarin200
        begin
536 160 jguarin200
 
537
                write(l,string'("<< "));
538 156 jguarin200
                case i is
539
                        when WAITING_FOR_AN_EVENT =>
540
                                tmp:="WAIT_EVNT";
541
                        when FIRING_INTERRUPTIONS =>
542
                                tmp:="FIRE_INTx";
543
                        when SUSPEND =>
544
                                tmp:="SUSPENDED";
545
                        when others =>
546 158 jguarin200
                                tmp:="ILGL__VAL";
547 156 jguarin200
                end case;
548 160 jguarin200
                write(l,string'(tmp));
549
                write(l,string'(" >>"));
550 156 jguarin200
 
551 160 jguarin200
        end procedure;
552 156 jguarin200
 
553 160 jguarin200
        procedure ap_vnadd022string(l:inout line;va2:in vectorblockadd02) is
554 156 jguarin200
        begin
555 160 jguarin200
 
556
                write(l,string'("<<[1] "));
557
                ap_slv2hex(l,va2(1));
558
                write(l,string'(" [0] "));
559
                ap_slv2hex(l,va2(0));
560
                write(l,string'(" >>"));
561
 
562
        end procedure;
563 156 jguarin200
 
564 160 jguarin200
        procedure ap_macState2string(l:inout line;s:in macState) is
565 158 jguarin200
                variable tmp:string (1 to 6);
566 156 jguarin200
        begin
567 160 jguarin200
 
568
                write(l,string'("<< "));
569 156 jguarin200
                case s is
570
                        when LOAD_INSTRUCTION =>
571
                                tmp:="LD_INS";
572
                        when FLUSH_ARITH_PIPELINE =>
573
                                tmp:="FL_ARP";
574
                        when EXECUTE_INSTRUCTION =>
575
                                tmp:="EX_INS";
576
                        when others =>
577 158 jguarin200
                                tmp:="HEL_ON";
578 156 jguarin200
                end case;
579 160 jguarin200
                write(l,string'(tmp));
580
                write(l,string'(" >>"));
581
 
582
        end procedure;
583 156 jguarin200
 
584
        constant hexchars : string (1 to 16) := "0123456789ABCDEF";
585 160 jguarin200
        procedure ap_slv2hex (l:inout line;h:in std_logic_vector) is
586
                variable index_high,index_low,highone,nc : integer;
587
        begin
588
                highone := h'high-h'low;
589
                nc:=0;
590
                for i in h'high downto h'low loop
591
                        if h(i)/='0' and h(i)/='1' then
592
                                nc:=1;
593
                        end if;
594 156 jguarin200
                end loop;
595 160 jguarin200
 
596
                if nc=1 then
597
                        for i in h'high downto h'low loop
598
                                ap_sl2string(l,h(i));
599
                        end loop;
600
                else
601
                        for i in (highone)/4 downto 0 loop
602
                                index_low:=i*4;
603
                                if (index_low+3)>highone then
604
                                        index_high := highone;
605
                                else
606
                                        index_high := i*4+3;
607
                                end if;
608
                                write(l,hexchars(1+ieee.std_logic_unsigned.conv_integer(h(index_high+h'low downto index_low+h'low))));
609
                        end loop;
610
                end if;
611
        end procedure;
612
 
613 153 jguarin200
        function ap_slv2int (sl:std_logic_vector) return integer is
614
                alias s : std_logic_vector (sl'high downto sl'low) is sl;
615
                variable i : integer;
616
        begin
617
                i:=0;
618
                for index in s'high downto s'low loop
619
                        if s(index)='1' then
620
                                i:=i*2+1;
621
                        else
622
                                i:=i*2;
623
                        end if;
624
                end loop;
625
                return i;
626
 
627
        end function;
628
        function ap_fp2slv (f:real) return std_logic_vector is
629
                variable faux : real;
630
                variable sef : std_logic_vector (31 downto 0);
631
        begin
632
                --! Signo
633
                if (f<0.0) then
634
                        sef(31) := '1';
635 160 jguarin200
                        faux:=f*(-1.0);
636 153 jguarin200
                else
637
                        sef(31) := '0';
638 160 jguarin200
                        faux:=f;
639 153 jguarin200
                end if;
640
 
641
                --! Exponente
642 160 jguarin200
                sef(30 downto 23) := conv_std_logic_vector(127+integer(floor(log(faux,2.0))),8);
643 153 jguarin200
 
644
                --! Fraction
645 160 jguarin200
                faux :=faux/(2.0**real(floor(log(faux,2.0))));
646 153 jguarin200
                faux := faux - 1.0;
647
 
648 160 jguarin200
                sef(22 downto 0)  := conv_std_logic_vector(integer(faux*(2.0**23.0)),23);
649 153 jguarin200
 
650
                return sef;
651
 
652
        end function;
653
 
654
        function ap_slv2fp(sl:std_logic_vector) return real is
655 160 jguarin200
                variable frc:integer;
656 153 jguarin200
                alias s: std_logic_vector(31 downto 0) is sl;
657 160 jguarin200
                variable f,expo: real;
658 153 jguarin200
 
659
        begin
660
 
661
 
662 160 jguarin200
                expo:=real(ap_slv2int(s(30 downto 23)) - 127);
663
                expo:=(2.0)**(expo);
664 153 jguarin200
                frc:=ap_slv2int('1'&s(22 downto 0));
665
                f:=real(frc)*(2.0**(-23.0));
666
                f:=f*real(expo);
667
 
668
                if s(31)='1' then
669
                        return -f;
670
                else
671
                        return f;
672 160 jguarin200
                end if;
673 153 jguarin200
 
674 160 jguarin200
 
675 153 jguarin200
 
676 160 jguarin200
 
677 153 jguarin200
        end function;
678
 
679
        function ap_slv_calc_xyvec (x,y:integer; cam:apCamera) return v3f is
680
 
681
 
682
                variable dx,dy : real;
683
                variable v : v3f;
684
        begin
685
 
686
                dx := cam.width/real(cam.resx);
687
                dy := cam.height/real(cam.resy);
688
 
689 160 jguarin200
                --! Eje Z: Tomando el dedo &iacute;ndice de la mano derecha, este eje queda apuntando en la direcci&on en la que mira la c&aacute;mara u observador siempre.
690 153 jguarin200
                v(0):=ap_fp2slv(cam.dist);
691
 
692 160 jguarin200
                --! Eje X: Tomando el dedo coraz&oacute;n de la mano derecha, este eje queda apuntando a la izquierda del observador, desde el observador.
693
                v(2):=ap_fp2slv(dx*real(cam.resx)*0.5-real(x)*dx-dx*0.5);
694 153 jguarin200
 
695 160 jguarin200
                --! Eje Y: Tomando el dedo pulgar de la mano derecha, este eje queda apuntando hacia arriba del observador, desde el observador.
696
                v(1):=ap_fp2slv(dy*real(cam.resy)*0.5-real(y)*dy-dy*0.5);
697 153 jguarin200
 
698
                return v;
699
 
700
        end function;
701 155 jguarin200
 
702 160 jguarin200
        procedure ap_slvf2string(l:inout line;sl:std_logic_vector) is
703 155 jguarin200
                alias f: std_logic_vector(31 downto 0) is sl;
704
                variable r: real;
705
 
706
        begin
707
 
708
                r:=ap_slv2fp(f);
709 160 jguarin200
                write(l,string'(real'image(r)));
710
                write(l,string'(" [ s:"));
711
                ap_slv2hex(l,f(31 downto 31));
712
                write(l,string'(" f: "));
713
                ap_slv2hex(l,f(30 downto 23));
714
                write(l,string'(" m: "));
715
                ap_slv2hex(l,f(22 downto 00));
716
                write(l,string'(" ]"));
717 155 jguarin200
 
718 160 jguarin200
        end procedure;
719 155 jguarin200
 
720
 
721
 
722 153 jguarin200
 
723
end package body;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.