OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [dpc.vhd] - Blame information for rev 123

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 123 jguarin200
--! @file dpc.vhd
2 122 jguarin200
--! @brief Decodificador de operacion. 
3
--! @author Julián Andrés Guarín Reyes.
4
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7 123 jguarin200
-- dpc.vhd
8 122 jguarin200
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25 123 jguarin200
entity dpc is
26 122 jguarin200
        generic (
27 123 jguarin200
                width : integer := 32
28 122 jguarin200
        );
29
        port (
30 123 jguarin200
                paraminput                      : in    std_logic_vector ((12*width)-1 downto 0);        --! Vectores A,B,C,D
31
                prd32blko,add32blko : in        std_logic_vector ((06*width)-1 downto 0);        --! Salidas de los 6 multiplicadores y los 6 sumadores.
32
                sqr32blko,inv32blko     : in    std_logic_vector ((02*width)-1 downto 0);        --! Salidas de las 2 raices cuadradas y los 2 inversores.
33
                fifo32o                         : in    std_logic_vector (     width-1 downto 0);        --! Salida de la cola intermedia.
34
                instr3                          : in    std_logic_vector (                       2 downto 0);    --! Opcode con la instrucci&oacute;n.
35
                fifo32i                         : out   std_logic_vector (         width-1 downto 0);    --! Entrada a la cola intermedia.
36
                prd32blki,add32blki : out       std_logic_vector ((12*width)-1 downto 0);        --! Entrada de los 12 sumandos y de los 12 factores en los 2 bloques de suma y el bloque de multiplicaci&oacute;n respectivamente.
37
                add32blks                       : out   std_logic_vector (                       1 downto 0);    --! Signos de operaci&oacute;n que entran en los 2 bloques de suma.
38
                resultoutput            : out   std_logic_vector ((06*width)-1 downto 0)         --! 6 salidas de resultados, pues lo m&aacute;ximo que podr&aacute; calcularse por cada clock son 2 vectores. 
39
 
40 122 jguarin200
 
41
        );
42 123 jguarin200
end dpc;
43 122 jguarin200
 
44 123 jguarin200
architecture dpc_arch of dpc is
45 122 jguarin200
 
46 123 jguarin200
        component scfifo
47
        generic (
48
                add_ram_output_register : string;
49
                intended_device_family  : string;
50
                lpm_hint                                : string;
51
                lpm_numwords                    : natural;
52
                lpm_showahead                   : string;
53
                lpm_type                                : string;
54
                lpm_width                               : natural;
55
                lpm_widthu                              : natural;
56
                overflow_checking               : string;
57
                underflow_checking              : string;
58
                use_eab                                 : string
59
        );
60
        port (
61
                rd
62
        )
63 122 jguarin200
 
64 123 jguarin200
        constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
65
        constant cz : integer := 06;constant cy : integer := 07;constant cx : integer := 08;constant dz : integer := 09;constant dy : integer := 10;constant dx : integer := 11;
66
        constant f0     : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
67
        constant f6     : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
68
        constant s0     : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
69
        constant s6     : integer := 06;constant s7 : integer := 07;constant s8 : integer := 08;constant s9 : integer := 09;constant s10: integer := 10;constant s11: integer := 11;
70
        constant a0     : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;constant aa : integer := 03;constant ab : integer := 04;constant ac : integer := 05;
71
        constant p0     : integer := 00;constant p1 : integer := 01;constant p2 : integer := 02;constant p3 : integer := 03;constant p4 : integer := 04;constant p5 : integer := 05;
72
        constant sqrt320 : integer := 00;
73
        constant sqrt321 : integer := 01;
74
        constant invr320 : integer := 00;
75
        constant invr321 : integer := 01;
76 122 jguarin200
 
77 123 jguarin200
        type    vectorblock12 is array (11 downto 0) of std_logic_vector(width-1 downto 0);
78
        type    vectorblock06 is array (05 downto 0) of std_logic_vector(width-1 downto 0);
79
        type    vectorblock02 is array (01 downto 0) of std_logic_vector(width-1 downto 0);
80 122 jguarin200
 
81 123 jguarin200
        signal  sparaminput,sfactor,ssumando    : vectorblock12;
82
        signal  sprd32blk,sadd32blk,sresult     : vectorblock06;
83
        signal  ssqr32blk,sinv32blk                             : vectorblock02;
84
 
85
 
86
begin
87 122 jguarin200
 
88 123 jguarin200
        --! Connect stuff ....
89
        stuff12:
90
        for i in 11 downto 0 generate
91
                sparaminput(i) <= paraminput(i*width+width-1 downto i*width);
92
                prd32blki(i*width+width-1 downto i*width) <= sfactor(i);
93
                add32blki(i*width+width-1 downto i*width) <= ssumando(i);
94
        end generate stuff12;
95
        stuff06:
96
        for i in 05 downto 0 generate
97
                sprd32blk(i)  <= prd32blko(i*width+width-1 downto i*width);
98
                sadd32blk(i)  <= add32blko(i*width+width-1 downto i*width);
99
                resultoutput(i*width+width-1 downto i*width) <= sresult(i);
100
        end generate stuff06;
101
        stuff02:
102
        for i in 05 downto 0 generate
103
                ssqr32blk(i)  <= sqr32blko(i*width+width-1 downto i*width);
104
                sinv32blk(i)  <= inv32blko(i*width+width-1 downto i*width);
105
        end generate stuff02;
106 122 jguarin200
 
107 123 jguarin200
 
108
        fifoconnection_proces:process(instr3)
109
        begin
110
                case (instr3) is
111
        end process;
112
 
113
 
114
end dpc_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.