OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [dpc.vhd] - Blame information for rev 128

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 123 jguarin200
--! @file dpc.vhd
2 122 jguarin200
--! @brief Decodificador de operacion. 
3 128 jguarin200
--! @author Julián Andrés Guarín Reyes
4 122 jguarin200
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7 123 jguarin200
-- dpc.vhd
8 122 jguarin200
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25 123 jguarin200
entity dpc is
26 122 jguarin200
        generic (
27 123 jguarin200
                width : integer := 32
28 122 jguarin200
        );
29
        port (
30 127 jguarin200
                paraminput                              : in    std_logic_vector ((12*width)-1 downto 0);        --! Vectores A,B,C,D
31
                prd32blko                               : in    std_logic_vector ((06*width)-1 downto 0);        --! Salidas de los 6 multiplicadores.
32
                add32blko                               : in    std_logic_vector ((04*width)-1 downto 0);        --! Salidas de los 4 sumadores.
33
                sqr32blko,inv32blko             : in    std_logic_vector (width-1 downto 0);             --! Salidas de las 2 raices cuadradas y los 2 inversores.
34
                fifo32x26_q                             : in    std_logic_vector (03*width-1 downto 0);          --! Salida de la cola intermedia.
35
                fifo32x09_q                             : in    std_logic_vector (02*width-1 downto 0);  --! Salida de las colas de producto punto. 
36
                unary,crossprod,addsub  : in    std_logic;                                                                      --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
37
                scalar                                  : in    std_logic;
38
                fifo32x26_d                             : out   std_logic_vector (03*width-1 downto 0);          --! Entrada a la cola intermedia para la normalizaci&oacute;n.
39
                fifo32x09_d                             : out   std_logic_vector (02*width-1 downto 0);          --! Entrada a las colas intermedias del producto punto.         
40
                prd32blki                               : out   std_logic_vector ((12*width)-1 downto 0);        --! Entrada de los 12 factores en el bloque de multiplicaci&oacute;n respectivamente.
41
                add32blki                               : out   std_logic_vector ((08*width)-1 downto 0);        --! Entrada de los 8 sumandos del bloque de 4 sumadores.  
42
 
43
                resultoutput                    : out   std_logic_vector ((08*width)-1 downto 0)         --! 6 salidas de resultados, pues lo m&aacute;ximo que podr&aacute; calcularse por cada clock son 2 vectores. 
44 122 jguarin200
        );
45 123 jguarin200
end dpc;
46 122 jguarin200
 
47 123 jguarin200
architecture dpc_arch of dpc is
48 125 jguarin200
 
49
        constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;
50 123 jguarin200
        constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
51
        constant cz : integer := 06;constant cy : integer := 07;constant cx : integer := 08;constant dz : integer := 09;constant dy : integer := 10;constant dx : integer := 11;
52
        constant f0     : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
53
        constant f6     : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
54
        constant s0     : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
55 127 jguarin200
        constant s6     : integer := 06;constant s7 : integer := 07;
56
        constant a0     : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;constant aa : integer := 03;
57 123 jguarin200
        constant p0     : integer := 00;constant p1 : integer := 01;constant p2 : integer := 02;constant p3 : integer := 03;constant p4 : integer := 04;constant p5 : integer := 05;
58 127 jguarin200
 
59 124 jguarin200
        constant dpfifoab : integer := 00;
60
        constant dpfifocd : integer := 01;
61
 
62 122 jguarin200
 
63 123 jguarin200
        type    vectorblock12 is array (11 downto 0) of std_logic_vector(width-1 downto 0);
64 127 jguarin200
        type    vectorblock08 is array (07 downto 0) of std_logic_vector(width-1 downto 0);
65 123 jguarin200
        type    vectorblock06 is array (05 downto 0) of std_logic_vector(width-1 downto 0);
66 127 jguarin200
        type    vectorblock04 is array (03 downto 0) of std_logic_vector(width-1 downto 0);
67 124 jguarin200
        type    vectorblock03 is array (02 downto 0) of std_logic_vector(width-1 downto 0);
68 123 jguarin200
        type    vectorblock02 is array (01 downto 0) of std_logic_vector(width-1 downto 0);
69 122 jguarin200
 
70 127 jguarin200
 
71
        signal sparaminput,sfactor                      : vectorblock12;
72
        signal ssumando,sresult                         : vectorblock08;
73
        signal sprd32blk                                        : vectorblock06;
74
        signal sadd32blk                                        : vectorblock04;
75 125 jguarin200
        signal snormfifo_q,snormfifo_d          : vectorblock03;
76 127 jguarin200
        signal sdpfifo_q                                        : vectorblock02;
77
        signal ssqr32blk,sinv32blk                      : std_logic_vector(width-1 downto 0);
78 123 jguarin200
 
79
begin
80 122 jguarin200
 
81 127 jguarin200
 
82
 
83 123 jguarin200
        stuff12:
84
        for i in 11 downto 0 generate
85
                sparaminput(i) <= paraminput(i*width+width-1 downto i*width);
86
                prd32blki(i*width+width-1 downto i*width) <= sfactor(i);
87 127 jguarin200
        end generate stuff12;
88
 
89
        stuff08:
90
        for i in 07 downto 0 generate
91 123 jguarin200
                add32blki(i*width+width-1 downto i*width) <= ssumando(i);
92 127 jguarin200
                resultoutput(i*width+width-1 downto i*width) <= sresult(i);
93
        end generate stuff08;
94 123 jguarin200
        stuff06:
95
        for i in 05 downto 0 generate
96
                sprd32blk(i)  <= prd32blko(i*width+width-1 downto i*width);
97 127 jguarin200
        end generate stuff06;
98
        stuff04:
99
        for i in 03 downto 0 generate
100 123 jguarin200
                sadd32blk(i)  <= add32blko(i*width+width-1 downto i*width);
101 127 jguarin200
        end generate stuff04;
102 124 jguarin200
        stuff03:
103
        for i in 02 downto 0 generate
104
                snormfifo_q(i) <= fifo32x26_q(i*width+width-1 downto i*width);
105
                fifo32x26_d(i*width+width-1 downto i*width) <= snormfifo_d(i);
106
        end generate stuff03;
107
 
108 123 jguarin200
        stuff02:
109 127 jguarin200
        for i in 01 downto 0 generate
110
                sdpfifo_q(i)  <= fifo32x09_q(i*width+width-1 downto i*width);
111 123 jguarin200
        end generate stuff02;
112 124 jguarin200
        fifo32x09_d <= sprd32blk(p3)&sprd32blk(p2);
113 122 jguarin200
 
114 127 jguarin200
 
115
 
116
        sinv32blk <= inv32blko;
117
        ssqr32blk <= sqr32blko;
118
 
119
        --! Salidas de los distintos resultados;
120
        sresult(0) <= ssqr32blk;
121
        sresult(1) <= sadd32blk(a0);
122
        sresult(2) <= sadd32blk(a1);
123
        sresult(3) <= sadd32blk(a2);
124
        sresult(4) <= sadd32blk(aa);
125
        sresult(5) <= sprd32blk(p3);
126
        sresult(6) <= sprd32blk(p4);
127
        sresult(7) <= sprd32blk(p5);
128
 
129
        --! Cola de normalizacion
130
        snormfifo_d(qx) <= sparaminput(ax);
131
        snormfifo_d(qy) <= sparaminput(ay);
132
        snormfifo_d(qz) <= sparaminput(az);
133
 
134
        --! Signo de los 3 primeros sumadores
135
 
136
 
137
 
138
 
139
        mul:process(unary,addsub,crossprod,scalar,sparaminput,sinv32blk,sprd32blk,sdpfifo_q,snormfifo_q)
140 123 jguarin200
        begin
141 124 jguarin200
 
142
 
143 127 jguarin200
                if unary='1' then
144
                        --! Magnitud y normalizacion
145
                        sfactor(f0) <= sparaminput(ax);
146
                        sfactor(f1) <= sparaminput(ax);
147
                        sfactor(f2) <= sparaminput(ay);
148
                        sfactor(f3) <= sparaminput(ay);
149
                        sfactor(f4) <= sparaminput(az);
150
                        sfactor(f5) <= sparaminput(az);
151
                        sfactor(f6) <= snormfifo_q(ax);
152
                        sfactor(f7) <= sinv32blk;
153
                        sfactor(f8) <= snormfifo_q(ay);
154
                        sfactor(f9) <= sinv32blk;
155
                        sfactor(f10) <= snormfifo_q(az);
156
                        sfactor(f11) <= sinv32blk;
157
                elsif crossprod='0' then
158
                        --! Solo productos punto
159
                        sfactor(f0) <= sparaminput(ay);
160
                        sfactor(f1) <= sparaminput(bz);
161
                        sfactor(f2) <= sparaminput(az);
162
                        sfactor(f3) <= sparaminput(by);
163
                        sfactor(f4) <= sparaminput(az);
164
                        sfactor(f5) <= sparaminput(bx);
165
                        sfactor(f6) <= sparaminput(ax);
166
                        sfactor(f7) <= sparaminput(bz);
167
                        sfactor(f8) <= sparaminput(ax);
168
                        sfactor(f9) <= sparaminput(by);
169
                        sfactor(f10) <= sparaminput(ay);
170
                        sfactor(f11) <= sparaminput(bx);
171
                elsif scalar='0' then
172
                        sfactor(f0) <=  sparaminput(ax) ;
173
                        sfactor(f1) <=  sparaminput(bx) ;
174
                        sfactor(f2) <=  sparaminput(ay) ;
175
                        sfactor(f3) <=  sparaminput(by) ;
176
                        sfactor(f4) <=  sparaminput(az) ;
177
                        sfactor(f5) <=  sparaminput(bz) ;
178
                        sfactor(f6) <=  sparaminput(cx) ;
179
                        sfactor(f7) <=  sparaminput(dx) ;
180
                        sfactor(f8) <=  sparaminput(cy) ;
181
                        sfactor(f9) <=  sparaminput(dy) ;
182
                        sfactor(f10) <= sparaminput(cz) ;
183
                        sfactor(f11) <= sparaminput(dz) ;
184
                else
185
                        sfactor(f0) <=  sparaminput(ax) ;
186
                        sfactor(f1) <=  sparaminput(bx) ;
187
                        sfactor(f2) <=  sparaminput(ay) ;
188
                        sfactor(f3) <=  sparaminput(by) ;
189
                        sfactor(f4) <=  sparaminput(az) ;
190
                        sfactor(f5) <=  sparaminput(bz) ;
191
                        sfactor(f6) <=  sparaminput(cx) ;
192
                        sfactor(f7) <=  sparaminput(dx) ;
193
                        sfactor(f8) <=  sparaminput(cy) ;
194
                        sfactor(f9) <=  sparaminput(dx) ;
195
                        sfactor(f10) <= sparaminput(cz) ;
196
                        sfactor(f11) <= sparaminput(dx) ;
197 126 jguarin200
 
198 125 jguarin200
                end if;
199 127 jguarin200
 
200
                ssumando(s6) <= sprd32blk(p3);
201
                ssumando(s7) <= sdpfifo_q(dpfifocd);
202
                if addsub='1' then
203
                        ssumando(s0) <= sparaminput(ax);
204
                        ssumando(s1) <= sparaminput(bx);
205
                        ssumando(s2) <= sparaminput(ay);
206
                        ssumando(s3) <= sparaminput(by);
207
                        ssumando(s4) <= sparaminput(az);
208
                        ssumando(s5) <= sparaminput(bz);
209
                else
210
                        ssumando(s0) <= sprd32blk(p0);
211
                        ssumando(s1) <= sprd32blk(p1);
212
                        ssumando(s2) <= sdpfifo_q(dpfifoab);
213
                        ssumando(s3) <= sprd32blk(p2);
214
                        ssumando(s4) <= sprd32blk(p4);
215
                        ssumando(s5) <= sprd32blk(p5);
216
                end if;
217 123 jguarin200
        end process;
218
 
219
 
220 127 jguarin200
 
221
 
222
--      interconnection:process(instr3,hblockslab,abblockslab,cdblockslab,sparaminput,sprd32blk,sadd32blk,sdpfifo_q)
223
--      begin
224
--              --! La cola para la normalizacion de los vectores.
225
--              snormfifo_d(qx) <= (hblockslab and ((cdblockslab and sparaminput(dx))or(not(cdblockslab) and sparaminput(cx)))) or (not(hblockslab) and ((abblockslab and sparaminput(bx))or(not(abblockslab) and sparaminput(ax))));
226
--              snormfifo_d(qy) <= (hblockslab and ((cdblockslab and sparaminput(dy))or(not(cdblockslab) and sparaminput(cy)))) or (not(hblockslab) and ((abblockslab and sparaminput(by))or(not(abblockslab) and sparaminput(ay))));
227
--              snormfifo_d(qz) <= (hblockslab and ((cdblockslab and sparaminput(dz))or(not(cdblockslab) and sparaminput(cz)))) or (not(hblockslab) and ((abblockslab and sparaminput(bz))or(not(abblockslab) and sparaminput(az))));
228
--      
229
--              --! Combinatorio para decidir que operaciones realizan los sumadores / restadores.
230
--              add32blks <= (instr3(0) xor (instr3(1) xor instr3(0)))&(instr3(0) xor (instr3(1) xor instr3(0))) ;
231
--              
232
--              --! Por defecto conectar los sumandos en producto punto/cruz
233
--              ssumando(s0) <= sprd32blk(p0);ssumando(s1) <= sprd32blk(p1);
234
--              ssumando(s6) <= sadd32blk(a0);ssumando(s7) <= sdpfifo_q(dpfifoab);
235
--              ssumando(s10) <= sdpfifo_q(dpfifocd);ssumando(s11) <= sadd32blk(a2);
236
--              ssumando(s4) <= sprd32blk(p4);ssumando(s5) <= sprd32blk(p5);
237
--              ssumando(s2) <= sprd32blk(p2);ssumando(s3) <= sprd32blk(p3);
238
--              
239
--              --! El segundo sumador del segundo bloque siempre sera suma o resta independiente de la operacion
240
--              ssumando(s8) <= sparaminput(cy);ssumando(s9) <= sparaminput(dy);        
241
--
242
--              --! Por defecto conectar los factores en producto punto
243
--              sfactor(f0) <= sparaminput(ax);sfactor(f1) <= sparaminput(bx);
244
--              sfactor(f2) <= sparaminput(ay);sfactor(f3) <= sparaminput(by);
245
--              sfactor(f4) <= sparaminput(az);sfactor(f5) <= sparaminput(bz);
246
--              sfactor(f6) <= sparaminput(bx);sfactor(f7) <= sparaminput(dx);
247
--              sfactor(f8) <= sparaminput(by);sfactor(f9) <= sparaminput(dy);
248
--              sfactor(f10) <= sparaminput(bz);sfactor(f11) <= sparaminput(dz);
249
--              
250
--              --!Los resultados por defecto se acomodan al producto punto y parcialmente a los productos simple y escalar.
251
--              sresult(ax) <= sadd32blk(aa);
252
--              sresult(ay) <= sprd32blk(p1);
253
--              sresult(az) <= sprd32blk(p2);
254
--              sresult(bx) <= sadd32blk(ac);
255
--              sresult(by) <= sprd32blk(p4);
256
--              sresult(bz) <= sprd32blk(p5);
257
--              
258
--              if (instr3(2 downto 1)="11" or instr3="100") then
259
--                      sresult(ax) <= sprd32blk(p0);
260
--                      sresult(bx) <= sprd32blk(p3);
261
--              elsif instr3(0)='1' then
262
--                      sresult(ax) <= sprd32blk(a0);
263
--                      sresult(ay) <= sprd32blk(a1);
264
--                      sresult(az) <= sprd32blk(a2);
265
--                      sresult(bx) <= sadd32blk(aa);
266
--                      sresult(by) <= sprd32blk(ab);
267
--                      sresult(bz) <= sadd32blk(ac);
268
--              elsif instr3(1)='1' then
269
--                      sresult(ax) <= ssqr32blk(sqrt320);
270
--                      sresult(bx) <= ssqr32blk(sqrt321);
271
--              end if;
272
--                      
273
--
274
--              if instr3(0)='1' then   --! Producto Cruz, suma, resta, multiplicacion simple
275
--
276
--                      if (instr3(2) or instr3(1))='1' then --! Suma, Resta, Multiplicacion simple
277
--                              
278
--                              --! Conectar las entradas de los sumadores en suma o resta de vectores 
279
--                              ssumando(s0) <= sparaminput(ax);ssumando(s1) <= sparaminput(bx);
280
--                              ssumando(s2) <= sparaminput(ay);ssumando(s3) <= sparaminput(by);
281
--                              ssumando(s4) <= sparaminput(az);ssumando(s5) <= sparaminput(bz);
282
--                              ssumando(s6) <= sparaminput(cx);ssumando(s7) <= sparaminput(dx);                                
283
--                              ssumando(s10) <= sparaminput(cz);ssumando(s11) <= sparaminput(dz);
284
--                      
285
--                      else --! Producto Cruz!
286
--                              
287
--                              if hblock='1' then      --! Producto crux CxD 
288
--                                      --!Multiplicadores: 
289
--                                      sfactor(f0) <= sparaminput(cy);sfactor(f1) <= sparaminput(dz);sfactor(f2) <= sparaminput(cz);sfactor(f3) <= sparaminput(dy);
290
--                                      sfactor(f4) <= sparaminput(cx);sfactor(f5) <= sparaminput(dz);sfactor(f6) <= sparaminput(cz);sfactor(f7) <= sparaminput(dx);
291
--                                      sfactor(f8) <= sparaminput(cx);sfactor(f9) <= sparaminput(dy);sfactor(f10) <= sparaminput(cy);sfactor(f11) <= sparaminput(dx);
292
--                              else                            --! Producto crux AxD
293
--                                      --!Multiplicadores:                                     
294
--                                      sfactor(f0) <= sparaminput(ay);sfactor(f1) <= sparaminput(bz);sfactor(f2) <= sparaminput(az);sfactor(f3) <= sparaminput(by);
295
--                                      sfactor(f4) <= sparaminput(ax);sfactor(f5) <= sparaminput(bz);sfactor(f6) <= sparaminput(az);sfactor(f7) <= sparaminput(bx);
296
--                                      sfactor(f8) <= sparaminput(ax);sfactor(f9) <= sparaminput(by);sfactor(f10) <= sparaminput(ay);sfactor(f11) <= sparaminput(bx);
297
--                              end if;
298
--
299
--                      end if;
300
--
301
--              else                                    --! Producto Punto, magnitud, producto escalar y normalizacion  
302
--                      if instr3(2)='1' then           --!Producto Escalar (INSTR3(1)=0) o Normalizacion (INSTR3(1)=1) 
303
--                              
304
--                              sfactor(f0) <= (not instr31slab and sparaminput(ax)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(ax)) or(abblockslab and sparaminput(bx))))or( hblockslab and snormfifo_q(qx)) ) );
305
--                              sfactor(f1) <= (not instr31slab and sparaminput(bx)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(ax)) or(abblockslab and sparaminput(bx))))or( hblockslab and sinv32blk(invr321)) ) );
306
--                              sfactor(f2) <= (not instr31slab and sparaminput(ay)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(ay)) or(abblockslab and sparaminput(by))))or( hblockslab and snormfifo_q(qy)) ) );
307
--                              sfactor(f3) <= (not instr31slab and sparaminput(bx)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(ay)) or(abblockslab and sparaminput(by))))or( hblockslab and sinv32blk(invr321)) ) );
308
--                              sfactor(f4) <= (not instr31slab and sparaminput(az)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(az)) or(abblockslab and sparaminput(bz))))or( hblockslab and snormfifo_q(qz)) ) );
309
--                              sfactor(f5) <= (not instr31slab and sparaminput(bx)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(az)) or(abblockslab and sparaminput(bz))))or( hblockslab and sinv32blk(invr321)) ) );
310
--                              sfactor(f6) <= (not instr31slab and sparaminput(cx)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cx)) or(cdblockslab and sparaminput(dx))))or( not(hblockslab) and snormfifo_q(qx)) ) );
311
--                              sfactor(f7) <= (not instr31slab and sparaminput(dx)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cx)) or(cdblockslab and sparaminput(dx))))or( not(hblockslab) and sinv32blk(invr320)) ) );
312
--                              sfactor(f8) <= (not instr31slab and sparaminput(cy)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cy)) or(cdblockslab and sparaminput(dy))))or( not(hblockslab) and snormfifo_q(qy)) ) );
313
--                              sfactor(f9) <= (not instr31slab and sparaminput(dx)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cy)) or(cdblockslab and sparaminput(dy))))or( not(hblockslab) and sinv32blk(invr320)) ) );
314
--                              sfactor(f10) <= (not instr31slab and sparaminput(cz)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cz)) or(cdblockslab and sparaminput(dz))))or( not(hblockslab) and snormfifo_q(qz)) ) );
315
--                              sfactor(f11) <= (not instr31slab and sparaminput(dx)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cz)) or(cdblockslab and sparaminput(dz))))or( not(hblockslab) and sinv32blk(invr320)) ) );
316
--                      elsif instr3(1)='1' then        --!Magnitud. El producto punto no se computa porque los factores estan por defecto configurados en producto punto.                              
317
--                              sfactor(f0) <= (not(abblockslab) and sparaminput(ax))or(abblockslab and sparaminput(bx));
318
--                              sfactor(f1) <= (not(abblockslab) and sparaminput(ax))or(abblockslab and sparaminput(bx));
319
--                              sfactor(f2) <= (not(abblockslab) and sparaminput(ay))or(abblockslab and sparaminput(by));
320
--                              sfactor(f3) <= (not(abblockslab) and sparaminput(ay))or(abblockslab and sparaminput(by));
321
--                              sfactor(f4) <= (not(abblockslab) and sparaminput(az))or(abblockslab and sparaminput(bz));
322
--                              sfactor(f5) <= (not(abblockslab) and sparaminput(az))or(abblockslab and sparaminput(bz));
323
--                              sfactor(f6) <= (not(cdblockslab) and sparaminput(cx))or(cdblockslab and sparaminput(dx));
324
--                              sfactor(f7) <= (not(cdblockslab) and sparaminput(cx))or(cdblockslab and sparaminput(dx));
325
--                              sfactor(f8) <= (not(cdblockslab) and sparaminput(cy))or(cdblockslab and sparaminput(dy));
326
--                              sfactor(f9) <= (not(cdblockslab) and sparaminput(cy))or(cdblockslab and sparaminput(dy));
327
--                              sfactor(f10) <= (not(cdblockslab) and sparaminput(cz))or(cdblockslab and sparaminput(dz));
328
--                              sfactor(f11) <= (not(cdblockslab) and sparaminput(cz))or(cdblockslab and sparaminput(dz));
329
--                                      
330
--                      end if;
331
--              end if;
332
--                              
333
--      end process;
334
--      
335
 
336 123 jguarin200
end dpc_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.