OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [dpc.vhd] - Blame information for rev 129

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 123 jguarin200
--! @file dpc.vhd
2 122 jguarin200
--! @brief Decodificador de operacion. 
3 128 jguarin200
--! @author Julián Andrés Guarín Reyes
4 122 jguarin200
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7 123 jguarin200
-- dpc.vhd
8 122 jguarin200
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25 123 jguarin200
entity dpc is
26 122 jguarin200
        generic (
27 129 jguarin200
                width : integer := 32;
28
                external_readable_widthad       : integer := integer(ceil(log(real(external_readable_blocks),2.0))))
29 122 jguarin200
        );
30
        port (
31 127 jguarin200
                paraminput                              : in    std_logic_vector ((12*width)-1 downto 0);        --! Vectores A,B,C,D
32
                prd32blko                               : in    std_logic_vector ((06*width)-1 downto 0);        --! Salidas de los 6 multiplicadores.
33
                add32blko                               : in    std_logic_vector ((04*width)-1 downto 0);        --! Salidas de los 4 sumadores.
34
                sqr32blko,inv32blko             : in    std_logic_vector (width-1 downto 0);             --! Salidas de las 2 raices cuadradas y los 2 inversores.
35
                fifo32x26_q                             : in    std_logic_vector (03*width-1 downto 0);          --! Salida de la cola intermedia.
36
                fifo32x09_q                             : in    std_logic_vector (02*width-1 downto 0);  --! Salida de las colas de producto punto. 
37
                unary,crossprod,addsub  : in    std_logic;                                                                      --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
38
                scalar                                  : in    std_logic;
39
                fifo32x26_d                             : out   std_logic_vector (03*width-1 downto 0);          --! Entrada a la cola intermedia para la normalizaci&oacute;n.
40
                fifo32x09_d                             : out   std_logic_vector (02*width-1 downto 0);          --! Entrada a las colas intermedias del producto punto.         
41
                prd32blki                               : out   std_logic_vector ((12*width)-1 downto 0);        --! Entrada de los 12 factores en el bloque de multiplicaci&oacute;n respectivamente.
42
                add32blki                               : out   std_logic_vector ((08*width)-1 downto 0);        --! Entrada de los 8 sumandos del bloque de 4 sumadores.  
43
 
44
                resultoutput                    : out   std_logic_vector ((08*width)-1 downto 0)         --! 6 salidas de resultados, pues lo m&aacute;ximo que podr&aacute; calcularse por cada clock son 2 vectores. 
45 122 jguarin200
        );
46 123 jguarin200
end dpc;
47 122 jguarin200
 
48 123 jguarin200
architecture dpc_arch of dpc is
49 125 jguarin200
 
50
        constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;
51 123 jguarin200
        constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
52
        constant cz : integer := 06;constant cy : integer := 07;constant cx : integer := 08;constant dz : integer := 09;constant dy : integer := 10;constant dx : integer := 11;
53
        constant f0     : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
54
        constant f6     : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
55
        constant s0     : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
56 127 jguarin200
        constant s6     : integer := 06;constant s7 : integer := 07;
57
        constant a0     : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;constant aa : integer := 03;
58 123 jguarin200
        constant p0     : integer := 00;constant p1 : integer := 01;constant p2 : integer := 02;constant p3 : integer := 03;constant p4 : integer := 04;constant p5 : integer := 05;
59 127 jguarin200
 
60 124 jguarin200
        constant dpfifoab : integer := 00;
61
        constant dpfifocd : integer := 01;
62
 
63 122 jguarin200
 
64 123 jguarin200
        type    vectorblock12 is array (11 downto 0) of std_logic_vector(width-1 downto 0);
65 127 jguarin200
        type    vectorblock08 is array (07 downto 0) of std_logic_vector(width-1 downto 0);
66 123 jguarin200
        type    vectorblock06 is array (05 downto 0) of std_logic_vector(width-1 downto 0);
67 127 jguarin200
        type    vectorblock04 is array (03 downto 0) of std_logic_vector(width-1 downto 0);
68 124 jguarin200
        type    vectorblock03 is array (02 downto 0) of std_logic_vector(width-1 downto 0);
69 123 jguarin200
        type    vectorblock02 is array (01 downto 0) of std_logic_vector(width-1 downto 0);
70 122 jguarin200
 
71 127 jguarin200
 
72
        signal sparaminput,sfactor                      : vectorblock12;
73
        signal ssumando,sresult                         : vectorblock08;
74
        signal sprd32blk                                        : vectorblock06;
75
        signal sadd32blk                                        : vectorblock04;
76 125 jguarin200
        signal snormfifo_q,snormfifo_d          : vectorblock03;
77 127 jguarin200
        signal sdpfifo_q                                        : vectorblock02;
78
        signal ssqr32blk,sinv32blk                      : std_logic_vector(width-1 downto 0);
79 123 jguarin200
 
80
begin
81 122 jguarin200
 
82 127 jguarin200
 
83
 
84 123 jguarin200
        stuff12:
85
        for i in 11 downto 0 generate
86
                sparaminput(i) <= paraminput(i*width+width-1 downto i*width);
87
                prd32blki(i*width+width-1 downto i*width) <= sfactor(i);
88 127 jguarin200
        end generate stuff12;
89
 
90
        stuff08:
91
        for i in 07 downto 0 generate
92 123 jguarin200
                add32blki(i*width+width-1 downto i*width) <= ssumando(i);
93 127 jguarin200
                resultoutput(i*width+width-1 downto i*width) <= sresult(i);
94
        end generate stuff08;
95 123 jguarin200
        stuff06:
96
        for i in 05 downto 0 generate
97
                sprd32blk(i)  <= prd32blko(i*width+width-1 downto i*width);
98 127 jguarin200
        end generate stuff06;
99
        stuff04:
100
        for i in 03 downto 0 generate
101 123 jguarin200
                sadd32blk(i)  <= add32blko(i*width+width-1 downto i*width);
102 127 jguarin200
        end generate stuff04;
103 124 jguarin200
        stuff03:
104
        for i in 02 downto 0 generate
105
                snormfifo_q(i) <= fifo32x26_q(i*width+width-1 downto i*width);
106
                fifo32x26_d(i*width+width-1 downto i*width) <= snormfifo_d(i);
107
        end generate stuff03;
108
 
109 123 jguarin200
        stuff02:
110 127 jguarin200
        for i in 01 downto 0 generate
111
                sdpfifo_q(i)  <= fifo32x09_q(i*width+width-1 downto i*width);
112 123 jguarin200
        end generate stuff02;
113 124 jguarin200
        fifo32x09_d <= sprd32blk(p3)&sprd32blk(p2);
114 122 jguarin200
 
115 127 jguarin200
 
116
 
117
        sinv32blk <= inv32blko;
118
        ssqr32blk <= sqr32blko;
119
 
120
        --! Salidas de los distintos resultados;
121
        sresult(0) <= ssqr32blk;
122
        sresult(1) <= sadd32blk(a0);
123
        sresult(2) <= sadd32blk(a1);
124
        sresult(3) <= sadd32blk(a2);
125
        sresult(4) <= sadd32blk(aa);
126
        sresult(5) <= sprd32blk(p3);
127
        sresult(6) <= sprd32blk(p4);
128
        sresult(7) <= sprd32blk(p5);
129
 
130
        --! Cola de normalizacion
131
        snormfifo_d(qx) <= sparaminput(ax);
132
        snormfifo_d(qy) <= sparaminput(ay);
133
        snormfifo_d(qz) <= sparaminput(az);
134
 
135
        --! Signo de los 3 primeros sumadores
136
 
137
 
138
 
139
 
140
        mul:process(unary,addsub,crossprod,scalar,sparaminput,sinv32blk,sprd32blk,sdpfifo_q,snormfifo_q)
141 123 jguarin200
        begin
142 124 jguarin200
 
143
 
144 127 jguarin200
                if unary='1' then
145
                        --! Magnitud y normalizacion
146
                        sfactor(f0) <= sparaminput(ax);
147
                        sfactor(f1) <= sparaminput(ax);
148
                        sfactor(f2) <= sparaminput(ay);
149
                        sfactor(f3) <= sparaminput(ay);
150
                        sfactor(f4) <= sparaminput(az);
151
                        sfactor(f5) <= sparaminput(az);
152
                        sfactor(f6) <= snormfifo_q(ax);
153
                        sfactor(f7) <= sinv32blk;
154
                        sfactor(f8) <= snormfifo_q(ay);
155
                        sfactor(f9) <= sinv32blk;
156
                        sfactor(f10) <= snormfifo_q(az);
157
                        sfactor(f11) <= sinv32blk;
158 129 jguarin200
                elsif crossprod='1' then
159 127 jguarin200
                        --! Solo productos punto
160
                        sfactor(f0) <= sparaminput(ay);
161
                        sfactor(f1) <= sparaminput(bz);
162
                        sfactor(f2) <= sparaminput(az);
163
                        sfactor(f3) <= sparaminput(by);
164
                        sfactor(f4) <= sparaminput(az);
165
                        sfactor(f5) <= sparaminput(bx);
166
                        sfactor(f6) <= sparaminput(ax);
167
                        sfactor(f7) <= sparaminput(bz);
168
                        sfactor(f8) <= sparaminput(ax);
169
                        sfactor(f9) <= sparaminput(by);
170
                        sfactor(f10) <= sparaminput(ay);
171
                        sfactor(f11) <= sparaminput(bx);
172 129 jguarin200
                elsif scalar='0' then --! Producto punto 
173 127 jguarin200
                        sfactor(f0) <=  sparaminput(ax) ;
174
                        sfactor(f1) <=  sparaminput(bx) ;
175
                        sfactor(f2) <=  sparaminput(ay) ;
176
                        sfactor(f3) <=  sparaminput(by) ;
177
                        sfactor(f4) <=  sparaminput(az) ;
178
                        sfactor(f5) <=  sparaminput(bz) ;
179
                        sfactor(f6) <=  sparaminput(cx) ;
180
                        sfactor(f7) <=  sparaminput(dx) ;
181
                        sfactor(f8) <=  sparaminput(cy) ;
182
                        sfactor(f9) <=  sparaminput(dy) ;
183
                        sfactor(f10) <= sparaminput(cz) ;
184
                        sfactor(f11) <= sparaminput(dz) ;
185
                else
186
                        sfactor(f0) <=  sparaminput(ax) ;
187
                        sfactor(f1) <=  sparaminput(bx) ;
188
                        sfactor(f2) <=  sparaminput(ay) ;
189
                        sfactor(f3) <=  sparaminput(by) ;
190
                        sfactor(f4) <=  sparaminput(az) ;
191
                        sfactor(f5) <=  sparaminput(bz) ;
192
                        sfactor(f6) <=  sparaminput(cx) ;
193
                        sfactor(f7) <=  sparaminput(dx) ;
194
                        sfactor(f8) <=  sparaminput(cy) ;
195
                        sfactor(f9) <=  sparaminput(dx) ;
196
                        sfactor(f10) <= sparaminput(cz) ;
197
                        sfactor(f11) <= sparaminput(dx) ;
198 126 jguarin200
 
199 125 jguarin200
                end if;
200 127 jguarin200
 
201
                ssumando(s6) <= sprd32blk(p3);
202
                ssumando(s7) <= sdpfifo_q(dpfifocd);
203
                if addsub='1' then
204
                        ssumando(s0) <= sparaminput(ax);
205
                        ssumando(s1) <= sparaminput(bx);
206
                        ssumando(s2) <= sparaminput(ay);
207
                        ssumando(s3) <= sparaminput(by);
208
                        ssumando(s4) <= sparaminput(az);
209
                        ssumando(s5) <= sparaminput(bz);
210
                else
211
                        ssumando(s0) <= sprd32blk(p0);
212
                        ssumando(s1) <= sprd32blk(p1);
213
                        ssumando(s2) <= sdpfifo_q(dpfifoab);
214
                        ssumando(s3) <= sprd32blk(p2);
215
                        ssumando(s4) <= sprd32blk(p4);
216
                        ssumando(s5) <= sprd32blk(p5);
217
                end if;
218 123 jguarin200
        end process;
219
 
220
 
221 127 jguarin200
 
222
 
223
--      interconnection:process(instr3,hblockslab,abblockslab,cdblockslab,sparaminput,sprd32blk,sadd32blk,sdpfifo_q)
224
--      begin
225
--              --! La cola para la normalizacion de los vectores.
226
--              snormfifo_d(qx) <= (hblockslab and ((cdblockslab and sparaminput(dx))or(not(cdblockslab) and sparaminput(cx)))) or (not(hblockslab) and ((abblockslab and sparaminput(bx))or(not(abblockslab) and sparaminput(ax))));
227
--              snormfifo_d(qy) <= (hblockslab and ((cdblockslab and sparaminput(dy))or(not(cdblockslab) and sparaminput(cy)))) or (not(hblockslab) and ((abblockslab and sparaminput(by))or(not(abblockslab) and sparaminput(ay))));
228
--              snormfifo_d(qz) <= (hblockslab and ((cdblockslab and sparaminput(dz))or(not(cdblockslab) and sparaminput(cz)))) or (not(hblockslab) and ((abblockslab and sparaminput(bz))or(not(abblockslab) and sparaminput(az))));
229
--      
230
--              --! Combinatorio para decidir que operaciones realizan los sumadores / restadores.
231
--              add32blks <= (instr3(0) xor (instr3(1) xor instr3(0)))&(instr3(0) xor (instr3(1) xor instr3(0))) ;
232
--              
233
--              --! Por defecto conectar los sumandos en producto punto/cruz
234
--              ssumando(s0) <= sprd32blk(p0);ssumando(s1) <= sprd32blk(p1);
235
--              ssumando(s6) <= sadd32blk(a0);ssumando(s7) <= sdpfifo_q(dpfifoab);
236
--              ssumando(s10) <= sdpfifo_q(dpfifocd);ssumando(s11) <= sadd32blk(a2);
237
--              ssumando(s4) <= sprd32blk(p4);ssumando(s5) <= sprd32blk(p5);
238
--              ssumando(s2) <= sprd32blk(p2);ssumando(s3) <= sprd32blk(p3);
239
--              
240
--              --! El segundo sumador del segundo bloque siempre sera suma o resta independiente de la operacion
241
--              ssumando(s8) <= sparaminput(cy);ssumando(s9) <= sparaminput(dy);        
242
--
243
--              --! Por defecto conectar los factores en producto punto
244
--              sfactor(f0) <= sparaminput(ax);sfactor(f1) <= sparaminput(bx);
245
--              sfactor(f2) <= sparaminput(ay);sfactor(f3) <= sparaminput(by);
246
--              sfactor(f4) <= sparaminput(az);sfactor(f5) <= sparaminput(bz);
247
--              sfactor(f6) <= sparaminput(bx);sfactor(f7) <= sparaminput(dx);
248
--              sfactor(f8) <= sparaminput(by);sfactor(f9) <= sparaminput(dy);
249
--              sfactor(f10) <= sparaminput(bz);sfactor(f11) <= sparaminput(dz);
250
--              
251
--              --!Los resultados por defecto se acomodan al producto punto y parcialmente a los productos simple y escalar.
252
--              sresult(ax) <= sadd32blk(aa);
253
--              sresult(ay) <= sprd32blk(p1);
254
--              sresult(az) <= sprd32blk(p2);
255
--              sresult(bx) <= sadd32blk(ac);
256
--              sresult(by) <= sprd32blk(p4);
257
--              sresult(bz) <= sprd32blk(p5);
258
--              
259
--              if (instr3(2 downto 1)="11" or instr3="100") then
260
--                      sresult(ax) <= sprd32blk(p0);
261
--                      sresult(bx) <= sprd32blk(p3);
262
--              elsif instr3(0)='1' then
263
--                      sresult(ax) <= sprd32blk(a0);
264
--                      sresult(ay) <= sprd32blk(a1);
265
--                      sresult(az) <= sprd32blk(a2);
266
--                      sresult(bx) <= sadd32blk(aa);
267
--                      sresult(by) <= sprd32blk(ab);
268
--                      sresult(bz) <= sadd32blk(ac);
269
--              elsif instr3(1)='1' then
270
--                      sresult(ax) <= ssqr32blk(sqrt320);
271
--                      sresult(bx) <= ssqr32blk(sqrt321);
272
--              end if;
273
--                      
274
--
275
--              if instr3(0)='1' then   --! Producto Cruz, suma, resta, multiplicacion simple
276
--
277
--                      if (instr3(2) or instr3(1))='1' then --! Suma, Resta, Multiplicacion simple
278
--                              
279
--                              --! Conectar las entradas de los sumadores en suma o resta de vectores 
280
--                              ssumando(s0) <= sparaminput(ax);ssumando(s1) <= sparaminput(bx);
281
--                              ssumando(s2) <= sparaminput(ay);ssumando(s3) <= sparaminput(by);
282
--                              ssumando(s4) <= sparaminput(az);ssumando(s5) <= sparaminput(bz);
283
--                              ssumando(s6) <= sparaminput(cx);ssumando(s7) <= sparaminput(dx);                                
284
--                              ssumando(s10) <= sparaminput(cz);ssumando(s11) <= sparaminput(dz);
285
--                      
286
--                      else --! Producto Cruz!
287
--                              
288
--                              if hblock='1' then      --! Producto crux CxD 
289
--                                      --!Multiplicadores: 
290
--                                      sfactor(f0) <= sparaminput(cy);sfactor(f1) <= sparaminput(dz);sfactor(f2) <= sparaminput(cz);sfactor(f3) <= sparaminput(dy);
291
--                                      sfactor(f4) <= sparaminput(cx);sfactor(f5) <= sparaminput(dz);sfactor(f6) <= sparaminput(cz);sfactor(f7) <= sparaminput(dx);
292
--                                      sfactor(f8) <= sparaminput(cx);sfactor(f9) <= sparaminput(dy);sfactor(f10) <= sparaminput(cy);sfactor(f11) <= sparaminput(dx);
293
--                              else                            --! Producto crux AxD
294
--                                      --!Multiplicadores:                                     
295
--                                      sfactor(f0) <= sparaminput(ay);sfactor(f1) <= sparaminput(bz);sfactor(f2) <= sparaminput(az);sfactor(f3) <= sparaminput(by);
296
--                                      sfactor(f4) <= sparaminput(ax);sfactor(f5) <= sparaminput(bz);sfactor(f6) <= sparaminput(az);sfactor(f7) <= sparaminput(bx);
297
--                                      sfactor(f8) <= sparaminput(ax);sfactor(f9) <= sparaminput(by);sfactor(f10) <= sparaminput(ay);sfactor(f11) <= sparaminput(bx);
298
--                              end if;
299
--
300
--                      end if;
301
--
302
--              else                                    --! Producto Punto, magnitud, producto escalar y normalizacion  
303
--                      if instr3(2)='1' then           --!Producto Escalar (INSTR3(1)=0) o Normalizacion (INSTR3(1)=1) 
304
--                              
305
--                              sfactor(f0) <= (not instr31slab and sparaminput(ax)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(ax)) or(abblockslab and sparaminput(bx))))or( hblockslab and snormfifo_q(qx)) ) );
306
--                              sfactor(f1) <= (not instr31slab and sparaminput(bx)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(ax)) or(abblockslab and sparaminput(bx))))or( hblockslab and sinv32blk(invr321)) ) );
307
--                              sfactor(f2) <= (not instr31slab and sparaminput(ay)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(ay)) or(abblockslab and sparaminput(by))))or( hblockslab and snormfifo_q(qy)) ) );
308
--                              sfactor(f3) <= (not instr31slab and sparaminput(bx)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(ay)) or(abblockslab and sparaminput(by))))or( hblockslab and sinv32blk(invr321)) ) );
309
--                              sfactor(f4) <= (not instr31slab and sparaminput(az)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(az)) or(abblockslab and sparaminput(bz))))or( hblockslab and snormfifo_q(qz)) ) );
310
--                              sfactor(f5) <= (not instr31slab and sparaminput(bx)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(az)) or(abblockslab and sparaminput(bz))))or( hblockslab and sinv32blk(invr321)) ) );
311
--                              sfactor(f6) <= (not instr31slab and sparaminput(cx)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cx)) or(cdblockslab and sparaminput(dx))))or( not(hblockslab) and snormfifo_q(qx)) ) );
312
--                              sfactor(f7) <= (not instr31slab and sparaminput(dx)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cx)) or(cdblockslab and sparaminput(dx))))or( not(hblockslab) and sinv32blk(invr320)) ) );
313
--                              sfactor(f8) <= (not instr31slab and sparaminput(cy)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cy)) or(cdblockslab and sparaminput(dy))))or( not(hblockslab) and snormfifo_q(qy)) ) );
314
--                              sfactor(f9) <= (not instr31slab and sparaminput(dx)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cy)) or(cdblockslab and sparaminput(dy))))or( not(hblockslab) and sinv32blk(invr320)) ) );
315
--                              sfactor(f10) <= (not instr31slab and sparaminput(cz)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cz)) or(cdblockslab and sparaminput(dz))))or( not(hblockslab) and snormfifo_q(qz)) ) );
316
--                              sfactor(f11) <= (not instr31slab and sparaminput(dx)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cz)) or(cdblockslab and sparaminput(dz))))or( not(hblockslab) and sinv32blk(invr320)) ) );
317
--                      elsif instr3(1)='1' then        --!Magnitud. El producto punto no se computa porque los factores estan por defecto configurados en producto punto.                              
318
--                              sfactor(f0) <= (not(abblockslab) and sparaminput(ax))or(abblockslab and sparaminput(bx));
319
--                              sfactor(f1) <= (not(abblockslab) and sparaminput(ax))or(abblockslab and sparaminput(bx));
320
--                              sfactor(f2) <= (not(abblockslab) and sparaminput(ay))or(abblockslab and sparaminput(by));
321
--                              sfactor(f3) <= (not(abblockslab) and sparaminput(ay))or(abblockslab and sparaminput(by));
322
--                              sfactor(f4) <= (not(abblockslab) and sparaminput(az))or(abblockslab and sparaminput(bz));
323
--                              sfactor(f5) <= (not(abblockslab) and sparaminput(az))or(abblockslab and sparaminput(bz));
324
--                              sfactor(f6) <= (not(cdblockslab) and sparaminput(cx))or(cdblockslab and sparaminput(dx));
325
--                              sfactor(f7) <= (not(cdblockslab) and sparaminput(cx))or(cdblockslab and sparaminput(dx));
326
--                              sfactor(f8) <= (not(cdblockslab) and sparaminput(cy))or(cdblockslab and sparaminput(dy));
327
--                              sfactor(f9) <= (not(cdblockslab) and sparaminput(cy))or(cdblockslab and sparaminput(dy));
328
--                              sfactor(f10) <= (not(cdblockslab) and sparaminput(cz))or(cdblockslab and sparaminput(dz));
329
--                              sfactor(f11) <= (not(cdblockslab) and sparaminput(cz))or(cdblockslab and sparaminput(dz));
330
--                                      
331
--                      end if;
332
--              end if;
333
--                              
334
--      end process;
335
--      
336
 
337 123 jguarin200
end dpc_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.