OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [dpc.vhd] - Blame information for rev 136

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 123 jguarin200
--! @file dpc.vhd
2 122 jguarin200
--! @brief Decodificador de operacion. 
3 128 jguarin200
--! @author Julián Andrés Guarín Reyes
4 122 jguarin200
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7 123 jguarin200
-- dpc.vhd
8 122 jguarin200
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25 134 jguarin200
 
26 123 jguarin200
entity dpc is
27 122 jguarin200
        generic (
28 132 jguarin200
                width : integer := 32
29
                --!external_readable_widthad    : integer := integer(ceil(log(real(external_readable_blocks),2.0))))                    
30 122 jguarin200
        );
31
        port (
32 136 jguarin200
                clk                                             : in    std_logic;
33 127 jguarin200
                paraminput                              : in    std_logic_vector ((12*width)-1 downto 0);        --! Vectores A,B,C,D
34
                prd32blko                               : in    std_logic_vector ((06*width)-1 downto 0);        --! Salidas de los 6 multiplicadores.
35
                add32blko                               : in    std_logic_vector ((04*width)-1 downto 0);        --! Salidas de los 4 sumadores.
36 136 jguarin200
                sqr32blko,inv32blko             : in    std_logic_vector (width-1 downto 0);             --! Salidas de la raiz cuadradas y el inversor.
37 127 jguarin200
                fifo32x26_q                             : in    std_logic_vector (03*width-1 downto 0);          --! Salida de la cola intermedia.
38
                fifo32x09_q                             : in    std_logic_vector (02*width-1 downto 0);  --! Salida de las colas de producto punto. 
39
                unary,crossprod,addsub  : in    std_logic;                                                                      --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
40
                scalar                                  : in    std_logic;
41 136 jguarin200
                sqr32blki,inv32blki             : out   std_logic_vector (width-1 downto 0);             --! Salidas de las 2 raices cuadradas y los 2 inversores.
42 127 jguarin200
                fifo32x26_d                             : out   std_logic_vector (03*width-1 downto 0);          --! Entrada a la cola intermedia para la normalizaci&oacute;n.
43
                fifo32x09_d                             : out   std_logic_vector (02*width-1 downto 0);          --! Entrada a las colas intermedias del producto punto.         
44
                prd32blki                               : out   std_logic_vector ((12*width)-1 downto 0);        --! Entrada de los 12 factores en el bloque de multiplicaci&oacute;n respectivamente.
45
                add32blki                               : out   std_logic_vector ((08*width)-1 downto 0);        --! Entrada de los 8 sumandos del bloque de 4 sumadores.  
46
 
47
                resultoutput                    : out   std_logic_vector ((08*width)-1 downto 0)         --! 6 salidas de resultados, pues lo m&aacute;ximo que podr&aacute; calcularse por cada clock son 2 vectores. 
48 122 jguarin200
        );
49 123 jguarin200
end dpc;
50 122 jguarin200
 
51 123 jguarin200
architecture dpc_arch of dpc is
52 125 jguarin200
 
53
        constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;
54 123 jguarin200
        constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
55
        constant cz : integer := 06;constant cy : integer := 07;constant cx : integer := 08;constant dz : integer := 09;constant dy : integer := 10;constant dx : integer := 11;
56
        constant f0     : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
57
        constant f6     : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
58
        constant s0     : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
59 127 jguarin200
        constant s6     : integer := 06;constant s7 : integer := 07;
60
        constant a0     : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;constant aa : integer := 03;
61 123 jguarin200
        constant p0     : integer := 00;constant p1 : integer := 01;constant p2 : integer := 02;constant p3 : integer := 03;constant p4 : integer := 04;constant p5 : integer := 05;
62 127 jguarin200
 
63 124 jguarin200
        constant dpfifoab : integer := 00;
64
        constant dpfifocd : integer := 01;
65
 
66 122 jguarin200
 
67 123 jguarin200
        type    vectorblock12 is array (11 downto 0) of std_logic_vector(width-1 downto 0);
68 127 jguarin200
        type    vectorblock08 is array (07 downto 0) of std_logic_vector(width-1 downto 0);
69 123 jguarin200
        type    vectorblock06 is array (05 downto 0) of std_logic_vector(width-1 downto 0);
70 127 jguarin200
        type    vectorblock04 is array (03 downto 0) of std_logic_vector(width-1 downto 0);
71 124 jguarin200
        type    vectorblock03 is array (02 downto 0) of std_logic_vector(width-1 downto 0);
72 123 jguarin200
        type    vectorblock02 is array (01 downto 0) of std_logic_vector(width-1 downto 0);
73 122 jguarin200
 
74 127 jguarin200
 
75
        signal sparaminput,sfactor                      : vectorblock12;
76
        signal ssumando,sresult                         : vectorblock08;
77
        signal sprd32blk                                        : vectorblock06;
78
        signal sadd32blk                                        : vectorblock04;
79 125 jguarin200
        signal snormfifo_q,snormfifo_d          : vectorblock03;
80 127 jguarin200
        signal sdpfifo_q                                        : vectorblock02;
81
        signal ssqr32blk,sinv32blk                      : std_logic_vector(width-1 downto 0);
82 123 jguarin200
 
83
begin
84 122 jguarin200
 
85 127 jguarin200
 
86
 
87 123 jguarin200
        stuff12:
88
        for i in 11 downto 0 generate
89
                sparaminput(i) <= paraminput(i*width+width-1 downto i*width);
90
                prd32blki(i*width+width-1 downto i*width) <= sfactor(i);
91 127 jguarin200
        end generate stuff12;
92
 
93
        stuff08:
94
        for i in 07 downto 0 generate
95 123 jguarin200
                add32blki(i*width+width-1 downto i*width) <= ssumando(i);
96 127 jguarin200
                resultoutput(i*width+width-1 downto i*width) <= sresult(i);
97
        end generate stuff08;
98 136 jguarin200
 
99
        process (clk)
100
        begin
101
                if clk'event and clk='1' then
102
                        for i 05 downto 0 loop
103
                                sprd32blk(p0)  <= prd32blko(i*width+width-1 downto i*width);
104
                        end loop;
105
                end if;
106
        end process;
107
 
108 127 jguarin200
        stuff04:
109 136 jguarin200
        for i in 03 downto 1 generate
110 123 jguarin200
                sadd32blk(i)  <= add32blko(i*width+width-1 downto i*width);
111 127 jguarin200
        end generate stuff04;
112 136 jguarin200
        process (clk)
113
        begin
114
                if clk'event and clk='1' then
115
                        sadd32blk(a0)  <= add32blko(a0*width+width-1 downto a0*width);
116
                        sinv32blk <= inv32blko;
117
                end if;
118
        end process;
119 124 jguarin200
        stuff03:
120
        for i in 02 downto 0 generate
121
                snormfifo_q(i) <= fifo32x26_q(i*width+width-1 downto i*width);
122
                fifo32x26_d(i*width+width-1 downto i*width) <= snormfifo_d(i);
123
        end generate stuff03;
124
 
125 123 jguarin200
        stuff02:
126 127 jguarin200
        for i in 01 downto 0 generate
127
                sdpfifo_q(i)  <= fifo32x09_q(i*width+width-1 downto i*width);
128 123 jguarin200
        end generate stuff02;
129 124 jguarin200
        fifo32x09_d <= sprd32blk(p3)&sprd32blk(p2);
130 122 jguarin200
 
131 127 jguarin200
 
132
 
133
        ssqr32blk <= sqr32blko;
134
 
135 136 jguarin200
        --! Colas de salida de los distintos resultados;
136 127 jguarin200
        sresult(0) <= ssqr32blk;
137
        sresult(1) <= sadd32blk(a0);
138
        sresult(2) <= sadd32blk(a1);
139
        sresult(3) <= sadd32blk(a2);
140
        sresult(4) <= sadd32blk(aa);
141
        sresult(5) <= sprd32blk(p3);
142
        sresult(6) <= sprd32blk(p4);
143
        sresult(7) <= sprd32blk(p5);
144
 
145
        --! Cola de normalizacion
146
        snormfifo_d(qx) <= sparaminput(ax);
147
        snormfifo_d(qy) <= sparaminput(ay);
148
        snormfifo_d(qz) <= sparaminput(az);
149
 
150
 
151
 
152 136 jguarin200
        --! La entrada al inversor SIEMPRE viene con la salida de la raiz cuadrada
153
        inv32blki <= sqr32blko;
154
        --! La entrada de la ra’z cuadrada SIEMPRE viene con la salida del sumador 1.
155
        sqr32blki <= sadd32blk(a1);
156 127 jguarin200
 
157
 
158 136 jguarin200
 
159
        --! Conectar las entradas del sumador a, a la salida 
160
        ssumando(s6) <= sadd32blk(a2);
161
        ssumando(s7) <= sdpfifo_q(dpfifocd);
162
 
163
 
164 132 jguarin200
        mul:process(unary,addsub,crossprod,scalar,sparaminput,sinv32blk,sprd32blk,sadd32blk,sdpfifo_q,snormfifo_q)
165 123 jguarin200
        begin
166 124 jguarin200
 
167
 
168 127 jguarin200
                if unary='1' then
169
                        --! Magnitud y normalizacion
170
                        sfactor(f0) <= sparaminput(ax);
171
                        sfactor(f1) <= sparaminput(ax);
172
                        sfactor(f2) <= sparaminput(ay);
173
                        sfactor(f3) <= sparaminput(ay);
174
                        sfactor(f4) <= sparaminput(az);
175
                        sfactor(f5) <= sparaminput(az);
176
                        sfactor(f6) <= snormfifo_q(ax);
177
                        sfactor(f7) <= sinv32blk;
178
                        sfactor(f8) <= snormfifo_q(ay);
179
                        sfactor(f9) <= sinv32blk;
180
                        sfactor(f10) <= snormfifo_q(az);
181
                        sfactor(f11) <= sinv32blk;
182 129 jguarin200
                elsif crossprod='1' then
183 127 jguarin200
                        --! Solo productos punto
184
                        sfactor(f0) <= sparaminput(ay);
185
                        sfactor(f1) <= sparaminput(bz);
186
                        sfactor(f2) <= sparaminput(az);
187
                        sfactor(f3) <= sparaminput(by);
188
                        sfactor(f4) <= sparaminput(az);
189
                        sfactor(f5) <= sparaminput(bx);
190
                        sfactor(f6) <= sparaminput(ax);
191
                        sfactor(f7) <= sparaminput(bz);
192
                        sfactor(f8) <= sparaminput(ax);
193
                        sfactor(f9) <= sparaminput(by);
194
                        sfactor(f10) <= sparaminput(ay);
195
                        sfactor(f11) <= sparaminput(bx);
196 129 jguarin200
                elsif scalar='0' then --! Producto punto 
197 127 jguarin200
                        sfactor(f0) <=  sparaminput(ax) ;
198
                        sfactor(f1) <=  sparaminput(bx) ;
199
                        sfactor(f2) <=  sparaminput(ay) ;
200
                        sfactor(f3) <=  sparaminput(by) ;
201
                        sfactor(f4) <=  sparaminput(az) ;
202
                        sfactor(f5) <=  sparaminput(bz) ;
203
                        sfactor(f6) <=  sparaminput(cx) ;
204
                        sfactor(f7) <=  sparaminput(dx) ;
205
                        sfactor(f8) <=  sparaminput(cy) ;
206
                        sfactor(f9) <=  sparaminput(dy) ;
207
                        sfactor(f10) <= sparaminput(cz) ;
208
                        sfactor(f11) <= sparaminput(dz) ;
209
                else
210
                        sfactor(f0) <=  sparaminput(ax) ;
211
                        sfactor(f1) <=  sparaminput(bx) ;
212
                        sfactor(f2) <=  sparaminput(ay) ;
213
                        sfactor(f3) <=  sparaminput(by) ;
214
                        sfactor(f4) <=  sparaminput(az) ;
215
                        sfactor(f5) <=  sparaminput(bz) ;
216
                        sfactor(f6) <=  sparaminput(cx) ;
217
                        sfactor(f7) <=  sparaminput(dx) ;
218
                        sfactor(f8) <=  sparaminput(cy) ;
219
                        sfactor(f9) <=  sparaminput(dx) ;
220
                        sfactor(f10) <= sparaminput(cz) ;
221
                        sfactor(f11) <= sparaminput(dx) ;
222 126 jguarin200
 
223 125 jguarin200
                end if;
224 127 jguarin200
 
225 136 jguarin200
 
226 127 jguarin200
                if addsub='1' then
227
                        ssumando(s0) <= sparaminput(ax);
228
                        ssumando(s1) <= sparaminput(bx);
229
                        ssumando(s2) <= sparaminput(ay);
230
                        ssumando(s3) <= sparaminput(by);
231
                        ssumando(s4) <= sparaminput(az);
232
                        ssumando(s5) <= sparaminput(bz);
233
                else
234
                        ssumando(s0) <= sprd32blk(p0);
235
                        ssumando(s1) <= sprd32blk(p1);
236 132 jguarin200
                        if crossprod='0' then
237
                                ssumando(s2) <= sadd32blk(a0);
238
                                ssumando(s3) <= sdpfifo_q(dpfifoab);
239
                        else
240
                                ssumando(s2) <= sprd32blk(p2);
241
                                ssumando(s3) <= sprd32blk(p3);
242
                        end if;
243 127 jguarin200
                        ssumando(s4) <= sprd32blk(p4);
244
                        ssumando(s5) <= sprd32blk(p5);
245
                end if;
246 123 jguarin200
        end process;
247
 
248
 
249 127 jguarin200
 
250
 
251
--      interconnection:process(instr3,hblockslab,abblockslab,cdblockslab,sparaminput,sprd32blk,sadd32blk,sdpfifo_q)
252
--      begin
253
--              --! La cola para la normalizacion de los vectores.
254
--              snormfifo_d(qx) <= (hblockslab and ((cdblockslab and sparaminput(dx))or(not(cdblockslab) and sparaminput(cx)))) or (not(hblockslab) and ((abblockslab and sparaminput(bx))or(not(abblockslab) and sparaminput(ax))));
255
--              snormfifo_d(qy) <= (hblockslab and ((cdblockslab and sparaminput(dy))or(not(cdblockslab) and sparaminput(cy)))) or (not(hblockslab) and ((abblockslab and sparaminput(by))or(not(abblockslab) and sparaminput(ay))));
256
--              snormfifo_d(qz) <= (hblockslab and ((cdblockslab and sparaminput(dz))or(not(cdblockslab) and sparaminput(cz)))) or (not(hblockslab) and ((abblockslab and sparaminput(bz))or(not(abblockslab) and sparaminput(az))));
257
--      
258
--              --! Combinatorio para decidir que operaciones realizan los sumadores / restadores.
259
--              add32blks <= (instr3(0) xor (instr3(1) xor instr3(0)))&(instr3(0) xor (instr3(1) xor instr3(0))) ;
260
--              
261
--              --! Por defecto conectar los sumandos en producto punto/cruz
262
--              ssumando(s0) <= sprd32blk(p0);ssumando(s1) <= sprd32blk(p1);
263
--              ssumando(s6) <= sadd32blk(a0);ssumando(s7) <= sdpfifo_q(dpfifoab);
264
--              ssumando(s10) <= sdpfifo_q(dpfifocd);ssumando(s11) <= sadd32blk(a2);
265
--              ssumando(s4) <= sprd32blk(p4);ssumando(s5) <= sprd32blk(p5);
266
--              ssumando(s2) <= sprd32blk(p2);ssumando(s3) <= sprd32blk(p3);
267
--              
268
--              --! El segundo sumador del segundo bloque siempre sera suma o resta independiente de la operacion
269
--              ssumando(s8) <= sparaminput(cy);ssumando(s9) <= sparaminput(dy);        
270
--
271
--              --! Por defecto conectar los factores en producto punto
272
--              sfactor(f0) <= sparaminput(ax);sfactor(f1) <= sparaminput(bx);
273
--              sfactor(f2) <= sparaminput(ay);sfactor(f3) <= sparaminput(by);
274
--              sfactor(f4) <= sparaminput(az);sfactor(f5) <= sparaminput(bz);
275
--              sfactor(f6) <= sparaminput(bx);sfactor(f7) <= sparaminput(dx);
276
--              sfactor(f8) <= sparaminput(by);sfactor(f9) <= sparaminput(dy);
277
--              sfactor(f10) <= sparaminput(bz);sfactor(f11) <= sparaminput(dz);
278
--              
279
--              --!Los resultados por defecto se acomodan al producto punto y parcialmente a los productos simple y escalar.
280
--              sresult(ax) <= sadd32blk(aa);
281
--              sresult(ay) <= sprd32blk(p1);
282
--              sresult(az) <= sprd32blk(p2);
283
--              sresult(bx) <= sadd32blk(ac);
284
--              sresult(by) <= sprd32blk(p4);
285
--              sresult(bz) <= sprd32blk(p5);
286
--              
287
--              if (instr3(2 downto 1)="11" or instr3="100") then
288
--                      sresult(ax) <= sprd32blk(p0);
289
--                      sresult(bx) <= sprd32blk(p3);
290
--              elsif instr3(0)='1' then
291
--                      sresult(ax) <= sprd32blk(a0);
292
--                      sresult(ay) <= sprd32blk(a1);
293
--                      sresult(az) <= sprd32blk(a2);
294
--                      sresult(bx) <= sadd32blk(aa);
295
--                      sresult(by) <= sprd32blk(ab);
296
--                      sresult(bz) <= sadd32blk(ac);
297
--              elsif instr3(1)='1' then
298
--                      sresult(ax) <= ssqr32blk(sqrt320);
299
--                      sresult(bx) <= ssqr32blk(sqrt321);
300
--              end if;
301
--                      
302
--
303
--              if instr3(0)='1' then   --! Producto Cruz, suma, resta, multiplicacion simple
304
--
305
--                      if (instr3(2) or instr3(1))='1' then --! Suma, Resta, Multiplicacion simple
306
--                              
307
--                              --! Conectar las entradas de los sumadores en suma o resta de vectores 
308
--                              ssumando(s0) <= sparaminput(ax);ssumando(s1) <= sparaminput(bx);
309
--                              ssumando(s2) <= sparaminput(ay);ssumando(s3) <= sparaminput(by);
310
--                              ssumando(s4) <= sparaminput(az);ssumando(s5) <= sparaminput(bz);
311
--                              ssumando(s6) <= sparaminput(cx);ssumando(s7) <= sparaminput(dx);                                
312
--                              ssumando(s10) <= sparaminput(cz);ssumando(s11) <= sparaminput(dz);
313
--                      
314
--                      else --! Producto Cruz!
315
--                              
316
--                              if hblock='1' then      --! Producto crux CxD 
317
--                                      --!Multiplicadores: 
318
--                                      sfactor(f0) <= sparaminput(cy);sfactor(f1) <= sparaminput(dz);sfactor(f2) <= sparaminput(cz);sfactor(f3) <= sparaminput(dy);
319
--                                      sfactor(f4) <= sparaminput(cx);sfactor(f5) <= sparaminput(dz);sfactor(f6) <= sparaminput(cz);sfactor(f7) <= sparaminput(dx);
320
--                                      sfactor(f8) <= sparaminput(cx);sfactor(f9) <= sparaminput(dy);sfactor(f10) <= sparaminput(cy);sfactor(f11) <= sparaminput(dx);
321
--                              else                            --! Producto crux AxD
322
--                                      --!Multiplicadores:                                     
323
--                                      sfactor(f0) <= sparaminput(ay);sfactor(f1) <= sparaminput(bz);sfactor(f2) <= sparaminput(az);sfactor(f3) <= sparaminput(by);
324
--                                      sfactor(f4) <= sparaminput(ax);sfactor(f5) <= sparaminput(bz);sfactor(f6) <= sparaminput(az);sfactor(f7) <= sparaminput(bx);
325
--                                      sfactor(f8) <= sparaminput(ax);sfactor(f9) <= sparaminput(by);sfactor(f10) <= sparaminput(ay);sfactor(f11) <= sparaminput(bx);
326
--                              end if;
327
--
328
--                      end if;
329
--
330
--              else                                    --! Producto Punto, magnitud, producto escalar y normalizacion  
331
--                      if instr3(2)='1' then           --!Producto Escalar (INSTR3(1)=0) o Normalizacion (INSTR3(1)=1) 
332
--                              
333
--                              sfactor(f0) <= (not instr31slab and sparaminput(ax)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(ax)) or(abblockslab and sparaminput(bx))))or( hblockslab and snormfifo_q(qx)) ) );
334
--                              sfactor(f1) <= (not instr31slab and sparaminput(bx)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(ax)) or(abblockslab and sparaminput(bx))))or( hblockslab and sinv32blk(invr321)) ) );
335
--                              sfactor(f2) <= (not instr31slab and sparaminput(ay)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(ay)) or(abblockslab and sparaminput(by))))or( hblockslab and snormfifo_q(qy)) ) );
336
--                              sfactor(f3) <= (not instr31slab and sparaminput(bx)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(ay)) or(abblockslab and sparaminput(by))))or( hblockslab and sinv32blk(invr321)) ) );
337
--                              sfactor(f4) <= (not instr31slab and sparaminput(az)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(az)) or(abblockslab and sparaminput(bz))))or( hblockslab and snormfifo_q(qz)) ) );
338
--                              sfactor(f5) <= (not instr31slab and sparaminput(bx)) or (instr31slab and ((not(hblockslab) and ((not(abblockslab) and sparaminput(az)) or(abblockslab and sparaminput(bz))))or( hblockslab and sinv32blk(invr321)) ) );
339
--                              sfactor(f6) <= (not instr31slab and sparaminput(cx)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cx)) or(cdblockslab and sparaminput(dx))))or( not(hblockslab) and snormfifo_q(qx)) ) );
340
--                              sfactor(f7) <= (not instr31slab and sparaminput(dx)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cx)) or(cdblockslab and sparaminput(dx))))or( not(hblockslab) and sinv32blk(invr320)) ) );
341
--                              sfactor(f8) <= (not instr31slab and sparaminput(cy)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cy)) or(cdblockslab and sparaminput(dy))))or( not(hblockslab) and snormfifo_q(qy)) ) );
342
--                              sfactor(f9) <= (not instr31slab and sparaminput(dx)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cy)) or(cdblockslab and sparaminput(dy))))or( not(hblockslab) and sinv32blk(invr320)) ) );
343
--                              sfactor(f10) <= (not instr31slab and sparaminput(cz)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cz)) or(cdblockslab and sparaminput(dz))))or( not(hblockslab) and snormfifo_q(qz)) ) );
344
--                              sfactor(f11) <= (not instr31slab and sparaminput(dx)) or (instr31slab and ((hblockslab and ((not(cdblockslab) and sparaminput(cz)) or(cdblockslab and sparaminput(dz))))or( not(hblockslab) and sinv32blk(invr320)) ) );
345
--                      elsif instr3(1)='1' then        --!Magnitud. El producto punto no se computa porque los factores estan por defecto configurados en producto punto.                              
346
--                              sfactor(f0) <= (not(abblockslab) and sparaminput(ax))or(abblockslab and sparaminput(bx));
347
--                              sfactor(f1) <= (not(abblockslab) and sparaminput(ax))or(abblockslab and sparaminput(bx));
348
--                              sfactor(f2) <= (not(abblockslab) and sparaminput(ay))or(abblockslab and sparaminput(by));
349
--                              sfactor(f3) <= (not(abblockslab) and sparaminput(ay))or(abblockslab and sparaminput(by));
350
--                              sfactor(f4) <= (not(abblockslab) and sparaminput(az))or(abblockslab and sparaminput(bz));
351
--                              sfactor(f5) <= (not(abblockslab) and sparaminput(az))or(abblockslab and sparaminput(bz));
352
--                              sfactor(f6) <= (not(cdblockslab) and sparaminput(cx))or(cdblockslab and sparaminput(dx));
353
--                              sfactor(f7) <= (not(cdblockslab) and sparaminput(cx))or(cdblockslab and sparaminput(dx));
354
--                              sfactor(f8) <= (not(cdblockslab) and sparaminput(cy))or(cdblockslab and sparaminput(dy));
355
--                              sfactor(f9) <= (not(cdblockslab) and sparaminput(cy))or(cdblockslab and sparaminput(dy));
356
--                              sfactor(f10) <= (not(cdblockslab) and sparaminput(cz))or(cdblockslab and sparaminput(dz));
357
--                              sfactor(f11) <= (not(cdblockslab) and sparaminput(cz))or(cdblockslab and sparaminput(dz));
358
--                                      
359
--                      end if;
360
--              end if;
361
--                              
362
--      end process;
363
--      
364
 
365 123 jguarin200
end dpc_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.