OpenCores
URL https://opencores.org/ocsvn/raytrac/raytrac/trunk

Subversion Repositories raytrac

[/] [raytrac/] [branches/] [fp/] [dpc.vhd] - Blame information for rev 151

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 123 jguarin200
--! @file dpc.vhd
2 122 jguarin200
--! @brief Decodificador de operacion. 
3 128 jguarin200
--! @author Julián Andrés Guarín Reyes
4 122 jguarin200
--------------------------------------------------------------
5
-- RAYTRAC
6
-- Author Julian Andres Guarin
7 123 jguarin200
-- dpc.vhd
8 122 jguarin200
-- This file is part of raytrac.
9
-- 
10
--     raytrac is free software: you can redistribute it and/or modify
11
--     it under the terms of the GNU General Public License as published by
12
--     the Free Software Foundation, either version 3 of the License, or
13
--     (at your option) any later version.
14
-- 
15
--     raytrac is distributed in the hope that it will be useful,
16
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
17
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
--     GNU General Public License for more details.
19
-- 
20
--     You should have received a copy of the GNU General Public License
21
--     along with raytrac.  If not, see <http://www.gnu.org/licenses/>.
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25 151 jguarin200
use work.arithpack.all;
26 134 jguarin200
 
27 123 jguarin200
entity dpc is
28 122 jguarin200
        generic (
29 132 jguarin200
                width : integer := 32
30
                --!external_readable_widthad    : integer := integer(ceil(log(real(external_readable_blocks),2.0))))                    
31 122 jguarin200
        );
32
        port (
33 143 jguarin200
                clk,rst                                 : in    std_logic;
34 127 jguarin200
                paraminput                              : in    std_logic_vector ((12*width)-1 downto 0);        --! Vectores A,B,C,D
35
                prd32blko                               : in    std_logic_vector ((06*width)-1 downto 0);        --! Salidas de los 6 multiplicadores.
36
                add32blko                               : in    std_logic_vector ((04*width)-1 downto 0);        --! Salidas de los 4 sumadores.
37 136 jguarin200
                sqr32blko,inv32blko             : in    std_logic_vector (width-1 downto 0);             --! Salidas de la raiz cuadradas y el inversor.
38 138 jguarin200
                fifo32x23_q                             : in    std_logic_vector (03*width-1 downto 0);          --! Salida de la cola intermedia.
39 127 jguarin200
                fifo32x09_q                             : in    std_logic_vector (02*width-1 downto 0);  --! Salida de las colas de producto punto. 
40
                unary,crossprod,addsub  : in    std_logic;                                                                      --! Bit con el identificador del bloque AB vs CD e identificador del sub bloque (A/B) o (C/D). 
41 145 jguarin200
                sync_chain_0                    : in    std_logic;                                                                      --! Señal de dato valido que se va por toda la cadena de sincronizacion.
42 147 jguarin200
                eoi_int                                 : in    std_logic;                                                                      --! Sennal de interrupción de final de instrucción.
43
                eoi_demuxed_int                 : out   std_logic_vector (3 downto 0);                           --! Señal de interrupción de final de instrucción pero esta vez va asociada a la instruccón UCA.
44 136 jguarin200
                sqr32blki,inv32blki             : out   std_logic_vector (width-1 downto 0);             --! Salidas de las 2 raices cuadradas y los 2 inversores.
45 127 jguarin200
                fifo32x26_d                             : out   std_logic_vector (03*width-1 downto 0);          --! Entrada a la cola intermedia para la normalizaci&oacute;n.
46
                fifo32x09_d                             : out   std_logic_vector (02*width-1 downto 0);          --! Entrada a las colas intermedias del producto punto.         
47
                prd32blki                               : out   std_logic_vector ((12*width)-1 downto 0);        --! Entrada de los 12 factores en el bloque de multiplicaci&oacute;n respectivamente.
48
                add32blki                               : out   std_logic_vector ((08*width)-1 downto 0);        --! Entrada de los 8 sumandos del bloque de 4 sumadores.  
49 149 jguarin200
                resw                                    : out   std_logic_vector (4 downto 0);                           --! Salidas de escritura y lectura en las colas de resultados.
50
                fifo32x09_w                             : out   std_logic;
51 143 jguarin200
                fifo32x23_w,fifo32x09_r : out   std_logic;
52
                fifo32x23_r                             : out   std_logic;
53 150 jguarin200
                resf_vector                             : in    std_logic_vector (3 downto 0);                           --! Entradas de la se&ntilde;al de full de las colas de resultados. 
54
                resf_event                              : out   std_logic;                                                                      --! Salida decodificada que indica que la cola de resultados de la operaci&oacute;n que est&aacute; en curso.
55 140 jguarin200
                resultoutput                    : out   std_logic_vector ((08*width)-1 downto 0)         --! 8 salidas de resultados, pues lo m&aacute;ximo que podr&aacute; calcularse por cada clock son 2 vectores. 
56 122 jguarin200
        );
57 123 jguarin200
end dpc;
58 122 jguarin200
 
59 123 jguarin200
architecture dpc_arch of dpc is
60 125 jguarin200
 
61
        constant qz : integer := 00;constant qy : integer := 01;constant qx : integer := 02;
62 123 jguarin200
        constant az : integer := 00;constant ay : integer := 01;constant ax : integer := 02;constant bz : integer := 03;constant by : integer := 04;constant bx : integer := 05;
63
        constant cz : integer := 06;constant cy : integer := 07;constant cx : integer := 08;constant dz : integer := 09;constant dy : integer := 10;constant dx : integer := 11;
64
        constant f0     : integer := 00;constant f1 : integer := 01;constant f2 : integer := 02;constant f3 : integer := 03;constant f4 : integer := 04;constant f5 : integer := 05;
65
        constant f6     : integer := 06;constant f7 : integer := 07;constant f8 : integer := 08;constant f9 : integer := 09;constant f10: integer := 10;constant f11: integer := 11;
66
        constant s0     : integer := 00;constant s1 : integer := 01;constant s2 : integer := 02;constant s3 : integer := 03;constant s4 : integer := 04;constant s5 : integer := 05;
67 127 jguarin200
        constant s6     : integer := 06;constant s7 : integer := 07;
68
        constant a0     : integer := 00;constant a1 : integer := 01;constant a2 : integer := 02;constant aa : integer := 03;
69 123 jguarin200
        constant p0     : integer := 00;constant p1 : integer := 01;constant p2 : integer := 02;constant p3 : integer := 03;constant p4 : integer := 04;constant p5 : integer := 05;
70 127 jguarin200
 
71 124 jguarin200
        constant dpfifoab : integer := 00;
72
        constant dpfifocd : integer := 01;
73
 
74 122 jguarin200
 
75 123 jguarin200
        type    vectorblock12 is array (11 downto 0) of std_logic_vector(width-1 downto 0);
76 127 jguarin200
        type    vectorblock08 is array (07 downto 0) of std_logic_vector(width-1 downto 0);
77 123 jguarin200
        type    vectorblock06 is array (05 downto 0) of std_logic_vector(width-1 downto 0);
78 127 jguarin200
        type    vectorblock04 is array (03 downto 0) of std_logic_vector(width-1 downto 0);
79 124 jguarin200
        type    vectorblock03 is array (02 downto 0) of std_logic_vector(width-1 downto 0);
80 123 jguarin200
        type    vectorblock02 is array (01 downto 0) of std_logic_vector(width-1 downto 0);
81 122 jguarin200
 
82 127 jguarin200
 
83 143 jguarin200
 
84 127 jguarin200
        signal sparaminput,sfactor                      : vectorblock12;
85
        signal ssumando,sresult                         : vectorblock08;
86
        signal sprd32blk                                        : vectorblock06;
87
        signal sadd32blk                                        : vectorblock04;
88 125 jguarin200
        signal snormfifo_q,snormfifo_d          : vectorblock03;
89 127 jguarin200
        signal sdpfifo_q                                        : vectorblock02;
90
        signal ssqr32blk,sinv32blk                      : std_logic_vector(width-1 downto 0);
91 142 jguarin200
        signal ssync_chain                                      : std_logic_vector(28 downto 0);
92 143 jguarin200
        signal ssync_chain_d                            : std_logic;
93 149 jguarin200
        signal sres567w,sres123w,sres2w         : std_logic;
94
        signal sres0w,sres4w                            : std_logic;
95 150 jguarin200
        signal sres567f,sres123f                        : std_logic; --! Entradas de la se&ntilde;al de full de las colas de resultados. 
96
        signal sres24f,sres0f                           : std_logic;
97 143 jguarin200
 
98
 
99 140 jguarin200
 
100 151 jguarin200
 
101 123 jguarin200
begin
102 122 jguarin200
 
103 142 jguarin200
        --! Cadena de sincronizaci&oacute;n: 29 posiciones.
104 145 jguarin200
        ssync_chain(0) <= sync_chain_0;
105 140 jguarin200
        sync_chain_proc:
106
        process(clk,rst)
107
        begin
108
                if rst=rstMasterValue then
109 145 jguarin200
                        ssync_chain(28 downto 1) <= (others => '0');
110 140 jguarin200
                elsif clk'event and clk='1' then
111 142 jguarin200
                        for i in 28 downto 1 loop
112
                                ssync_chain(i) <= ssync_chain(i-1);
113 140 jguarin200
                        end loop;
114
                end if;
115
        end process sync_chain_proc;
116 144 jguarin200
 
117 140 jguarin200
        --! Escritura en las colas de resultados y escritura/lectura en las colas intermedias mediante cadena de resultados.
118 143 jguarin200
        fifo32x09_w <= ssync_chain(5);
119
        fifo32x23_w <= ssync_chain(1);
120
        fifo32x09_r <= ssync_chain(13);
121
        fifo32x23_r <= ssync_chain(24);
122 149 jguarin200
        sres0w  <= ssync_chain(23);
123
        sres4w  <= ssync_chain(22);
124
        resw    <= sres567w&sres4w&sres123w&sres2w&sres0w;
125 140 jguarin200
        sync_chain_comb:
126 142 jguarin200
        process (ssync_chain,addsub,crossprod,unary)
127 140 jguarin200
        begin
128
                if unary='1' then
129 149 jguarin200
                        sres567w <= ssync_chain(28);
130 140 jguarin200
                else
131 149 jguarin200
                        sres567w <= ssync_chain(4);
132 140 jguarin200
                end if;
133 127 jguarin200
 
134 140 jguarin200
                if addsub='1' then
135 149 jguarin200
                        sres123w <= ssync_chain(9);
136
                        sres2w <= ssync_chain(9);
137 140 jguarin200
                else
138 149 jguarin200
                        sres123w <= ssync_chain(13);
139 140 jguarin200
                        if crossprod='1' then
140 149 jguarin200
                                sres2w <= ssync_chain(13);
141 140 jguarin200
                        else
142 149 jguarin200
                                sres2w <= ssync_chain(22);
143 140 jguarin200
                        end if;
144
                end if;
145
        end process sync_chain_comb;
146
 
147
 
148
        --! El siguiente c&oacute;digo sirve para conectar arreglos a se&ntilde;ales std_logic_1164, simplemente son abstracciones a nivel de c&oacute;digo y no representar&aacute; cambios en la s&iacute;ntesis.
149 123 jguarin200
        stuff12:
150
        for i in 11 downto 0 generate
151
                sparaminput(i) <= paraminput(i*width+width-1 downto i*width);
152
                prd32blki(i*width+width-1 downto i*width) <= sfactor(i);
153 127 jguarin200
        end generate stuff12;
154
        stuff08:
155
        for i in 07 downto 0 generate
156 123 jguarin200
                add32blki(i*width+width-1 downto i*width) <= ssumando(i);
157 127 jguarin200
                resultoutput(i*width+width-1 downto i*width) <= sresult(i);
158
        end generate stuff08;
159 138 jguarin200
        stuff04:
160 148 jguarin200
        for i in 02 downto 1 generate
161 138 jguarin200
                sadd32blk(i)  <= add32blko(i*width+width-1 downto i*width);
162
        end generate stuff04;
163
 
164
 
165 124 jguarin200
        stuff03:
166
        for i in 02 downto 0 generate
167 138 jguarin200
                snormfifo_q(i) <= fifo32x23_q(i*width+width-1 downto i*width);
168 124 jguarin200
                fifo32x26_d(i*width+width-1 downto i*width) <= snormfifo_d(i);
169
        end generate stuff03;
170
 
171 123 jguarin200
        stuff02:
172 127 jguarin200
        for i in 01 downto 0 generate
173
                sdpfifo_q(i)  <= fifo32x09_q(i*width+width-1 downto i*width);
174 123 jguarin200
        end generate stuff02;
175 140 jguarin200
 
176
        --! El siguiente c&oacute;digo sirve para conectar arreglos a se&ntilde;ales std_logic_1164, son abstracciones de c&oacute;digo tambi&eacute;n, sin embargo se realizan a trav&eacute;s de registros. 
177
        register_products_outputs:
178
        process (clk)
179
        begin
180
                if clk'event and clk='1' then
181
                        for i in 05 downto 0 loop
182
                                sprd32blk(i)  <= prd32blko(i*width+width-1 downto i*width);
183
                        end loop;
184
                end if;
185
        end process;
186
        --! Los productos del multiplicador 2 y 3, ya registrados dentro de dpc van a la cola intermedia del producto punto (fifo32x09_d)
187 148 jguarin200
        --! Los unicos resultados de sumandos que de nuevo entran al DataPathControl (observar la pesta&ntilde;a del documento de excel) 
188
 
189 124 jguarin200
        fifo32x09_d <= sprd32blk(p3)&sprd32blk(p2);
190 140 jguarin200
        register_adder0_and_inversor_output:
191
        process (clk)
192
        begin
193
                if clk'event and clk='1' then
194 148 jguarin200
                        sadd32blk(a0) <= add32blko(a0*width+width-1 downto a0*width);
195
                        sadd32blk(aa) <= add32blko(aa*width+width-1 downto aa*width);
196 140 jguarin200
                        sinv32blk <= inv32blko;
197
                end if;
198
        end process;
199 122 jguarin200
 
200 127 jguarin200
 
201
 
202 140 jguarin200
 
203 144 jguarin200
        --! Raiz Cuadrada.
204 127 jguarin200
        ssqr32blk <= sqr32blko;
205
 
206 136 jguarin200
        --! Colas de salida de los distintos resultados;
207 127 jguarin200
        sresult(0) <= ssqr32blk;
208
        sresult(1) <= sadd32blk(a0);
209
        sresult(2) <= sadd32blk(a1);
210
        sresult(3) <= sadd32blk(a2);
211
        sresult(4) <= sadd32blk(aa);
212
        sresult(5) <= sprd32blk(p3);
213
        sresult(6) <= sprd32blk(p4);
214
        sresult(7) <= sprd32blk(p5);
215
 
216
        --! Cola de normalizacion
217
        snormfifo_d(qx) <= sparaminput(ax);
218
        snormfifo_d(qy) <= sparaminput(ay);
219
        snormfifo_d(qz) <= sparaminput(az);
220
 
221
 
222
 
223 136 jguarin200
        --! La entrada al inversor SIEMPRE viene con la salida de la raiz cuadrada
224
        inv32blki <= sqr32blko;
225 139 jguarin200
        --! La entrada de la ra�z cuadrada SIEMPRE viene con la salida del sumador 1.
226 136 jguarin200
        sqr32blki <= sadd32blk(a1);
227 127 jguarin200
 
228
 
229 136 jguarin200
 
230
        --! Conectar las entradas del sumador a, a la salida 
231
        ssumando(s6) <= sadd32blk(a2);
232
        ssumando(s7) <= sdpfifo_q(dpfifocd);
233
 
234 144 jguarin200
        --!El siguiente proceso conecta la se&ntilde;al de cola "casi llena", de la cola que corresponde al resultado de la operaci&oacute;n indicada por los bit UCA (Unary, Crossprod, Addsub).
235 150 jguarin200
        sres0f          <= resf_vector(0);
236
        sres123f        <= resf_vector(1);
237
        sres24f         <= resf_vector(2);
238
        sres567f        <= resf_vector(3);
239
        fullQ:process(sres0f,sres123f,sres24f,sres567f,unary,crossprod,addsub,eoi_int)
240 143 jguarin200
        begin
241
                if unary='0' then
242 147 jguarin200
                        if crossprod='1' or addsub='1' then
243
                                eoi_demuxed_int <= "00"&eoi_int&'0';
244 150 jguarin200
                                resf_event <= sres123f;
245 143 jguarin200
                        else
246 147 jguarin200
                                eoi_demuxed_int <= '0'&eoi_int&"00";
247 150 jguarin200
                                resf_event <= sres24f;
248 143 jguarin200
                        end if;
249
                elsif crossprod='1' or addsub='1' then
250 147 jguarin200
                        eoi_demuxed_int <= eoi_int&"000";
251 150 jguarin200
                        resf_event <= sres567f;
252 143 jguarin200
                else
253 147 jguarin200
                        eoi_demuxed_int <= "000"&eoi_int;
254 150 jguarin200
                        resf_event <= sres0f;
255 143 jguarin200
                end if;
256
        end process;
257
 
258 144 jguarin200
        --! Decodificaci&oacute;n del Datapath.
259 142 jguarin200
        mul:process(unary,addsub,crossprod,sparaminput,sinv32blk,sprd32blk,sadd32blk,sdpfifo_q,snormfifo_q)
260 123 jguarin200
        begin
261 124 jguarin200
 
262 142 jguarin200
                sfactor(f4) <= sparaminput(az);
263 127 jguarin200
                if unary='1' then
264
                        --! Magnitud y normalizacion
265
                        sfactor(f0) <= sparaminput(ax);
266
                        sfactor(f1) <= sparaminput(ax);
267
                        sfactor(f2) <= sparaminput(ay);
268
                        sfactor(f3) <= sparaminput(ay);
269 142 jguarin200
 
270 127 jguarin200
                        sfactor(f5) <= sparaminput(az);
271 142 jguarin200
                        if crossprod='1' and addsub='1' then
272
                                sfactor(f6) <= sparaminput(cx);
273
                                sfactor(f7) <= sparaminput(dx);
274
                                sfactor(f8) <= sparaminput(cy);
275
                                sfactor(f9) <= sparaminput(dx);
276
                                sfactor(f10) <= sparaminput(cz);
277
                                sfactor(f11) <= sparaminput(dx);
278
                        else
279
                                sfactor(f6) <= snormfifo_q(ax);
280
                                sfactor(f7) <= sinv32blk;
281
                                sfactor(f8) <= snormfifo_q(ay);
282
                                sfactor(f9) <= sinv32blk;
283
                                sfactor(f10) <= snormfifo_q(az);
284
                                sfactor(f11) <= sinv32blk;
285
                        end if;
286
 
287
 
288
                elsif addsub='0' then
289
                        --! Solo productos punto o cruz
290
                        if crossprod='1' then
291
 
292
                                sfactor(f0) <= sparaminput(ay);
293
                                sfactor(f1) <= sparaminput(bz);
294
                                sfactor(f2) <= sparaminput(az);
295
                                sfactor(f3) <= sparaminput(by);
296
 
297
                                sfactor(f5) <= sparaminput(bx);
298
                                sfactor(f6) <= sparaminput(ax);
299
                                sfactor(f7) <= sparaminput(bz);
300
                                sfactor(f8) <= sparaminput(ax);
301
                                sfactor(f9) <= sparaminput(by);
302
                                sfactor(f10) <= sparaminput(ay);
303
                                sfactor(f11) <= sparaminput(bx);
304
 
305
                        else
306
 
307
                                sfactor(f0) <=  sparaminput(ax) ;
308
                                sfactor(f1) <=  sparaminput(bx) ;
309
                                sfactor(f2) <=  sparaminput(ay) ;
310
                                sfactor(f3) <=  sparaminput(by) ;
311
                                sfactor(f5) <=  sparaminput(bz) ;
312
                                sfactor(f6) <=  sparaminput(cx) ;
313
                                sfactor(f7) <=  sparaminput(dx) ;
314
                                sfactor(f8) <=  sparaminput(cy) ;
315
                                sfactor(f9) <=  sparaminput(dy) ;
316
                                sfactor(f10) <= sparaminput(cz) ;
317
                                sfactor(f11) <= sparaminput(dz) ;
318
                        end if;
319
 
320 127 jguarin200
                else
321
                        sfactor(f0) <=  sparaminput(ax) ;
322
                        sfactor(f1) <=  sparaminput(bx) ;
323
                        sfactor(f2) <=  sparaminput(ay) ;
324
                        sfactor(f3) <=  sparaminput(by) ;
325
                        sfactor(f5) <=  sparaminput(bz) ;
326
                        sfactor(f6) <=  sparaminput(cx) ;
327
                        sfactor(f7) <=  sparaminput(dx) ;
328
                        sfactor(f8) <=  sparaminput(cy) ;
329
                        sfactor(f9) <=  sparaminput(dx) ;
330
                        sfactor(f10) <= sparaminput(cz) ;
331
                        sfactor(f11) <= sparaminput(dx) ;
332 125 jguarin200
                end if;
333 127 jguarin200
 
334 136 jguarin200
 
335 127 jguarin200
                if addsub='1' then
336
                        ssumando(s0) <= sparaminput(ax);
337
                        ssumando(s1) <= sparaminput(bx);
338
                        ssumando(s2) <= sparaminput(ay);
339
                        ssumando(s3) <= sparaminput(by);
340
                        ssumando(s4) <= sparaminput(az);
341
                        ssumando(s5) <= sparaminput(bz);
342
                else
343
                        ssumando(s0) <= sprd32blk(p0);
344
                        ssumando(s1) <= sprd32blk(p1);
345 132 jguarin200
                        if crossprod='0' then
346
                                ssumando(s2) <= sadd32blk(a0);
347
                                ssumando(s3) <= sdpfifo_q(dpfifoab);
348
                        else
349
                                ssumando(s2) <= sprd32blk(p2);
350
                                ssumando(s3) <= sprd32blk(p3);
351
                        end if;
352 127 jguarin200
                        ssumando(s4) <= sprd32blk(p4);
353
                        ssumando(s5) <= sprd32blk(p5);
354
                end if;
355 123 jguarin200
        end process;
356
 
357
 
358 127 jguarin200
 
359 123 jguarin200
end dpc_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.